CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - coin

搜索资源列表

  1. pl_auto1

    0下载:
  2. 文件名:pl_auto1.vhd。 --功能:货物信息存储,进程控制,硬币处理,余额计算,显示等功能。 --说明:显示的钱数coin的 以5角为单位。 -File Name : pl_auto1.vhd. -- Functions : cargo storage, process control, coins, balance calculation and display functions. -- Note : the amount of money to show the co
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:143650
    • 提供者:钱亮
  1. 自动售货机VHDL程序与仿真

    0下载:
  2. library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买
  3. 所属分类:文档资料

  1. ICT006

    0下载:
  2. ICT(台湾吉鸿)的收币器通讯协议,可以与硬币接收/找零装置对接。由上位机或单片机控制。 此为测试软件,能清楚看到收发的指令。-ICT (Taiwan ICT) and communication protocols coin collection, coins can receive/give change device docking. Controlled by a PC or microcontroller. This is a test software, can send and
  3. 所属分类:Communication

    • 发布日期:2017-03-28
    • 文件大小:276478
    • 提供者:deathpig
  1. happycoin

    0下载:
  2. This is gaming xls happy coin.
  3. 所属分类:File Formats

    • 发布日期:2017-04-25
    • 文件大小:28255
    • 提供者:nn
  1. 8ball

    0下载:
  2. This gaming xls coin.-This is gaming xls coin.
  3. 所属分类:File Formats

    • 发布日期:2017-04-29
    • 文件大小:245148
    • 提供者:nn
  1. cube

    0下载:
  2. This is gaming xls happy coin.
  3. 所属分类:File Formats

    • 发布日期:2017-04-24
    • 文件大小:128917
    • 提供者:nn
  1. hangman

    0下载:
  2. This is gaming xls happy coin.
  3. 所属分类:File Formats

    • 发布日期:2017-04-28
    • 文件大小:26703
    • 提供者:nn
  1. findmoney

    0下载:
  2. 10. 设有n种不同面值的硬币,各硬币的面值存在于数足T[n]中。现要用这些面值的硬币来找钱。可以使用的各种面值的硬币个数存于数组Coins[n]中。对任意钱数0<=m<=20009,设计一个用最少硬币找钱m的方法。-10. There are n different denominations of coins, each coin with a face value exists in the number of adequate T [n] Medium. Is to use
  3. 所属分类:Document

    • 发布日期:2017-04-15
    • 文件大小:7511
    • 提供者:林大嘴
  1. vhdl

    0下载:
  2. 找到的一个自动售货机的vhdl程序,关于eda的设计有没有最新的一些说明之类的饿-The vendor 1 of source code . vendor can sell 3 kinds of merchandise: The Hamburg and 1 Yuan of hot dog wrap 2 Yuan and double-deck Hamburg 3 Yuan. It is infinite to set up quantity. 2 . vendor promise input 1
  3. 所属分类:File Formats

    • 发布日期:2017-03-31
    • 文件大小:61664
    • 提供者:myth
  1. DynamicCoin

    0下载:
  2. Solution to the coin changing problem using DP
  3. 所属分类:Development Research

    • 发布日期:2017-04-16
    • 文件大小:71655
    • 提供者:zero
  1. autoseller

    0下载:
  2. 1. 本实验由两个按键分别模拟投币过程,投入的金钱总额(余额)会显示在数码管上; 2. 由一个按键模拟要购买的商品(价值7元),可买多次,购物总额同样会显示在数码管上; 3. 拥有一个确认键,确认做出的交易,若铃响则表示交易成功,同时扣除相应的费用,否则之前选购的商品将清空; 4. 余额可以退币,也可继续购买,将拨码开关1往上拨,LED灯全亮,表示余额已退 -1. In this study, two key processes were simulated coin, the
  3. 所属分类:software engineering

    • 发布日期:2017-03-31
    • 文件大小:507534
    • 提供者:Lin
  1. 5

    0下载:
  2. Computer Vision and Computer Graphics can be thought of as opposite sides of the same coin.
  3. 所属分类:Document

    • 发布日期:2017-04-25
    • 文件大小:180427
    • 提供者:sruthi
  1. coin

    0下载:
  2. 有关coin3d下使用编程,Qt现在是一个非常好的平台-sgi open inventor
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:302454
    • 提供者:杨晶
  1. riben

    0下载:
  2. 日本投币器原理图,全面,清晰明了,protel99se软件绘划-Japan Coin schematic, comprehensive, clarity, protel99se software painting program
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:8967
    • 提供者:钟进聪
  1. Feature-Discovery-

    0下载:
  2. 近似动态规划中的特征发现,我们主张提取最好的状态表征方式的问题和值函数近似问题就像一个硬币的两面,用非参变量的方式是快速同时解决两个问题的较简便的方法。-Feature Discovery in Approximate Dynamic Programming,We also argue that the problem of discovering the best state representation and the problem of the value function approx
  3. 所属分类:software engineering

    • 发布日期:2017-03-26
    • 文件大小:138445
    • 提供者:陈真
  1. CYFISNP_001-48033

    0下载:
  2. CyFi™ 星网络协议栈(CYFISNP)用户模块是为了解决高达250 通用节点之间提供可靠的双向沟通的枢纽和节点(S)。钍 枢纽是假设墙供电,而节点可以由供电或供电要么墙 碱性(低阻抗)或纽扣电池(高阻抗)。- The CyFi™ Star Network Protocol Stack (CYFISNP) User Module is designed to address up to 250 general purpose nodes
  3. 所属分类:Communication

    • 发布日期:2017-04-16
    • 文件大小:363998
    • 提供者:陈均
  1. coin

    0下载:
  2. HUONG DAN SU DUNG COIN
  3. 所属分类:Project Manage

    • 发布日期:2017-04-16
    • 文件大小:191401
    • 提供者:pp
  1. Probability--Random-Variables-and-Random-Processe

    0下载:
  2. In the study of probability, any process of observation is referred to as an experiment. The results of an observation are called the outcomes of the experiment. An experiment is called a random experiment if its outcome cannot be predicted. Typi
  3. 所属分类:Development Research

  1. artcam5

    0下载:
  2. ArtCAM 软件产品系列是英国Delcam公司出品的独特的CAD造型和CNC、CAM 加工解决方案,是复杂立体三维浮雕设计、珠宝设计和加工的首选CAD/CAM 软件解决方案,可快速将二维构思转换成三维艺术产品。全中文用户界面使用户能更加方便、快捷、灵活地进行三维浮雕设计和加工,广泛地用于雕刻产生、模具制造、珠宝生产、包装设计、纪念章和硬币制造以及标牌制作等领域。-The ArtCAM software product family is English Delcam company produ
  3. 所属分类:software engineering

    • 发布日期:2017-05-23
    • 文件大小:7428392
    • 提供者:gt.chen
  1. coin

    0下载:
  2. 一个博弈论的拿硬币的小游戏,初学者课堂作业的水平-A coin game theory to take small game, beginners level classwork
  3. 所属分类:software engineering

    • 发布日期:2017-11-20
    • 文件大小:1205
    • 提供者:III
« 12 »
搜珍网 www.dssz.com