CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - coin

搜索资源列表

  1. jsjxtjg2005.5.11

    0下载:
  2. 计算机接口的课件。望广大学生好好用。忘记万毫我。-Computer Interface Software. The majority of students look properly. I forget the 10,000 coin.
  3. 所属分类:Static控件

    • 发布日期:2008-10-13
    • 文件大小:112399
    • 提供者:包权
  1. 3huodao

    0下载:
  2. 包括电路图和源程序,汇编语言编写。JP1、JP2、JP3为电机接口,JP5为投币器接口,JP4为指示灯接口-including circuit diagrams and source code, written in assembly language. JP1, JP2, JP3 for electrical interfaces, JP5 for the coin interface, the interface indicator of JP4
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:21617
    • 提供者:虎虎
  1. autosale

    1下载:
  2. VHDL编写的自动售货机,带找零、退币功能,数字电路课程设计!内附常态图,和dofile波形模拟文件-VHDL prepared by the vending machines, have sought to bring, the coin features, digital circuit design courses! Enclosing normal map and document dofile waveform simulation
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:3506
    • 提供者:张傻
  1. 自动售货机

    0下载:
  2. 用户可以选择三种金额投币,若足够金额购买饮料,则相关饮料选择变亮,售货机自动找零,管理员可以对所售饮料设置类型、名称和价格-users can choose the amount of three coin, if sufficient amount of the purchase drinks, the relevant variable-beverage choice, Automation Change vending machines, administrators can set be
  3. 所属分类:界面编程

    • 发布日期:2008-10-13
    • 文件大小:245271
    • 提供者:陈观军
  1. sale2

    0下载:
  2. sale,自动收获机。首先投币,然后买东西,然后退币-sale, automatic harvester. The first coin, and then buy something, and then coin
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1217
    • 提供者:杨小坤
  1. accepted1

    0下载:
  2. 解决时钟问题,acm竞赛题 A weird clock marked from 0 to 59 has only a minute hand. It won t move until a special coin is thrown into its box. There are different kinds of coins as your options. However once you make your choice, you cannot use any other kind.
  3. 所属分类:数据结构常用算法

    • 发布日期:2008-10-13
    • 文件大小:1308
    • 提供者:王冠
  1. QQPetNurse

    0下载:
  2. Q宠保姆,很好用的,可以解决有Q宠朋友的Q币问题,可以说是不用Q币就可以养活Q宠,而且不用自己操心-pet nanny, well spent, can be solved with the pet friends Q Q coin, it is not currency Q Q can feed the pet, but do not have to worry about!
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:464700
    • 提供者:谢土明
  1. automachine

    1下载:
  2. 自动售货机 l 设计要求: 1.机器有一个投币孔,每次只能投入一枚硬币,但可以连续投入多枚硬币。机器能识别的硬币金额为1元,5角和1角。顾客可选择的饮料价格有1元,1元5角,2元三种。每次只能售出1瓶饮料。 2.购买饮料时先选择饮料价格再投币,当投入的硬币总金额达到或超过饮料价格后,机器发出指示信号并拒收继续投入的硬币。顾客投币后,按动确定键,机器将发出饮料和找零硬币,若所投金额不足,则发出欠资信号指示。在欠资情况下,顾客可以继续投币购买,也可按取消键,机器将退出所投入的全部金额。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1365
    • 提供者:zy
  1. pennymelt

    0下载:
  2. 数值计算的一个实例,演示了硬币的融化,非常有意思,也有利于数值编程的学习。-a numerical example, demonstrated a coin melt, and very interesting, but also is conducive to learning numerical programming.
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:2362
    • 提供者:王且
  1. robot_lesson

    0下载:
  2. 一个使用贪婪算法解决机器人收集硬币问题的小练习。-use a greedy algorithm to solve the problem robot coin collection of small practice.
  3. 所属分类:人工智能/神经网络/遗传算法

    • 发布日期:2008-10-13
    • 文件大小:2846
    • 提供者:zbchun
  1. pl_auto1

    0下载:
  2. 文件名:pl_auto1.vhd。 --功能:货物信息存储,进程控制,硬币处理,余额计算,显示等功能。 --说明:显示的钱数coin的 以5角为单位。 -File Name : pl_auto1.vhd. -- Functions : cargo storage, process control, coins, balance calculation and display functions. -- Note : the amount of money to show the co
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:143650
    • 提供者:钱亮
  1. Setu0369p

    0下载:
  2. http://www.programsalon.com/ 初步计划 1元钱可以买10个情缘币。 之后每个房间可以设置一些管理员。管理的不是加的,是用情缘币换的。比如你买了,200元的情缘币。并且这个房间设置 2星级管理 要用 2000个情缘币 换。。那么你有买了2000个情缘币 就可以做管理。。。。。比如房间负责人的情缘币为8000 个那么你花800,。向运营商买就可以做 。。。。 -http : / / www.programsalon.com/ preliminary plans
  3. 所属分类:驱动编程

    • 发布日期:2008-10-13
    • 文件大小:51630
    • 提供者:雨轩
  1. Coin-acceptor

    0下载:
  2. cctalk coin acceptor
  3. 所属分类:.net

    • 发布日期:2017-04-30
    • 文件大小:111907
    • 提供者:Daniel Alba
  1. Coin-counting

    0下载:
  2. 该源码实现了硬币计数功能,用C51单片机做控制器,能简单实现对一元硬币进行计数。-The source code to achieve a coin counting function, using C51 microcontroller to do the controller, can be simple to achieve a dollar coin count.
  3. 所属分类:SCM

    • 发布日期:2017-12-11
    • 文件大小:21178
    • 提供者:邱金浩
  1. Measure-coin-diameter

    0下载:
  2. 利用面阵相机测量硬币直径,文档给出测量五毛钱硬币直径的相关程序。-The coin diameter is measured by a plane array camera, and the relevant program for measuring the diameter of 50 fen coins is given in the document.
  3. 所属分类:Special Effects

    • 发布日期:2017-12-13
    • 文件大小:230101
    • 提供者:shiyaping
  1. find fake coin

    0下载:
  2. 有N个硬币,含 1 枚伪币 (伪币质量与真币质量不一样,真币质量都相同),此程序可以花费平均最少的时间找到伪币(There are N coins, 1 counterfeit coins (coins and coin quality really different quality, the quality of real coins are the same), this program can spend the least time to find the average)
  3. 所属分类:Windows编程

  1. coin

    0下载:
  2. 使用python语言,加以清楚注释,借用经典的三硬币模型实现了EM算法的简单应用,并附生成数据的简单代码(The python language is used to make clear annotations and to use the classic three coin model to implement the simple application of the EM algorithm and to attach the simple code to generate the d
  3. 所属分类:其他

    • 发布日期:2018-01-09
    • 文件大小:7168
    • 提供者:余尔
  1. blue-app-btc-master

    0下载:
  2. btc coin开发示例,需要nano s固件(btc coin demo,need nano s firmware)
  3. 所属分类:Linux/Unix编程

    • 发布日期:2018-04-28
    • 文件大小:217088
    • 提供者:sleeplessz
  1. Working Cash Coin File

    1下载:
  2. Working Cash Coin File rf online 2.2.3.2
  3. 所属分类:其他

    • 发布日期:2018-04-30
    • 文件大小:151552
    • 提供者:maluquinho28
  1. coin tossing

    0下载:
  2. 用于抛硬币,对一件事做出选择,功能还添加了偏心的抛法,对自己心仪的可以增加概率。(It is used to toss a coin, to make a choice of one thing, and to add an eccentric method of throwing, which can increase the probability of one's own mind.)
  3. 所属分类:其他

    • 发布日期:2018-04-30
    • 文件大小:174080
    • 提供者:adffqe
« 1 2 34 5 6 7 8 9 10 ... 20 »
搜珍网 www.dssz.com