搜索资源列表
MyDrawCoin
- vc编写的画两个椭圆,形成一个硬币,可增加可减少 -vc prepared by painting two elliptical form of a coin, the increase can be reduced
third4
- 自动售货机,投币记数,判断是否已答商品价格,再出货指令发出后,出货。-vending machines, coin counting, to decide whether or not to have answered commodity prices, export orders issued again after shipment.
coinproblem
- 经典的硬币问题:使用最少个数的硬币来达到指定的钱数。使用贪心算法。vc下调试通过-classic coin : the use of at least the number of coins to reach the specified amount of money. Use greedy algorithm. Vc under through debugging
SilverCoin
- 动态规划解银币问题(C++实现)-dynamic programming solution Coin (C achieved)
RT102A
- 新型投币机:控制两个按摩椅,具有红外遥控,能够设置最低投币个数及时间,-new coin machine : Control two massage chairs, with infrared remote control to set the minimum number of coin and time,
coin_detection
- Coin Detection using Hough Transform
Coin-2.5.0b3.tar
- 最新版本的三维图形库,能在多种平台上顺利编译
WebService
- Web Service综合应用货币验证部分分成两部分 一个是coin一个是testcoin。 coin是Web Service发布部分,testcoin是检测部分。(这些在书中都有详细介绍)分别把coin和testcoin web共享后 在IIs中浏览testcoin中的WebForm1就可以验证Web Service。
模拟正反面的游戏
- 这是c语言的一个模拟抛银币的正反面游戏-This is a simulation language of the coin throwing games are --
Main
- simulates coin tossing. Let the program toss a coin each time the user chooses the “Toss Coin” menu option. Count the number of times each side of the coin appears. Display the results. The program should call a separate method flip that takes no arg
CoinUtils-2.2.5
- The CoinUtils project is a collection of open-source utilities developed and used by a variety of other projects in the COIN-OR repository. The project includes classes for storing and manipulating sparse matrices and vectors, performing matrix facto
C++小游戏
- 一个C++写的类似与大航海4中猜金币的小游戏-a similar write C with the Big 4 guess the small coin game
89c52time
- measure coin used 89c52,which use two Timers
coin-toss
- 关于解决翻币问题的一个小程序,编译运行成功,希望对大家有所启示
coin-seg
- 这是应用MATLAB编写的硬币提取程序,可以参考
yinbi
- 银币旋转效果源代码-coin rotating effect source code
vendor
- 此程序设计的自动售货机只销售两种罐装可乐,售价均为15元。顾客可以由两个不同投币孔分别投入3个五元硬币或2个10元硬币。一次交易只能买一罐,且自动找零。只要按下取消键就会马上无条件退币。-this procedure designed vending machine sales only two canned cola, both at 15 yuan. Customers can from two different coin Kong spent three five yuan coins
POPO Simple 2.0
- 曾经玩过POPO(popo.163.com)的赌币游戏吧,这个程序可以帮你获得更多的泡币。-had played POPO (popo.163.com) in the gambling coin game bar, this procedure can help you get more foam currency.
硬币情况
- c++写的一个关于数据结构的程序,其中包含了硬币的分法等算法,相信会给喜欢数据结构的朋友带来帮助!-c write a data structure for the procedure, which includes a coin-law algorithm, would like to believe that the data structure to help bring friends!
自动售货机VHDL程序与仿真
- library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买