CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - coin

搜索资源列表

  1. Vending

    0下载:
  2. 用MFC模拟了一款自动售货机的基本功能,具有投币、退币、购买商品等功能,还能通过输入密码获得管理员权限并编辑商品的种类、数量、价格等信息,针对课设很适合-MFC simulated using the basic functions of a vending machine with a coin, coins back, purchase merchandise and other functions, but also to obtain administrator privileges b
  3. 所属分类:GUI Develop

    • 发布日期:2017-05-29
    • 文件大小:12058098
    • 提供者:杜飞
  1. 1

    0下载:
  2. 分类决策树辅助 CT 诊断孤立性肺结节的 方法学研究 应用分类与回归决策树( CART) 算法构建 CT 显像鉴别良恶性孤立性肺结节 ( SPN) 预测模型,探讨数据挖掘技术在 SPN 影像诊断中的应用价值。-Coin lesion, pulmonary Diagnosis, computer-assisted Regression analysis
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-04-04
    • 文件大小:140819
    • 提供者:Erin
  1. mpi-ikl-simplemkl-1.0.tar

    1下载:
  2. This package contains an implementation of the Infinite Kernel Learning (IKL) algorithm and the SimpleMKL algorithm. This is realized by building on Coin-Ipopt-3.3.5 and Libsvm.
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-05-13
    • 文件大小:3455618
    • 提供者:奕风
  1. DynamicCoin

    0下载:
  2. Solution to the coin changing problem using DP
  3. 所属分类:Development Research

    • 发布日期:2017-04-16
    • 文件大小:71655
    • 提供者:zero
  1. 2010-04-15

    0下载:
  2. 我写的游艺机程序,keil下编译,STC89C55芯片。实现投币、出票、数码管、语音、电磁阀等的动作。功能保密,只是和大家探讨交流程序的写法、架构。-I wrote the amusement program, keil compile, STC89C55 chip. Achieve coin, ticket, digital control, voice, electromagnetic valve action. Function confidentiality, and we only d
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:397953
    • 提供者:赵典
  1. autoseller

    0下载:
  2. 1. 本实验由两个按键分别模拟投币过程,投入的金钱总额(余额)会显示在数码管上; 2. 由一个按键模拟要购买的商品(价值7元),可买多次,购物总额同样会显示在数码管上; 3. 拥有一个确认键,确认做出的交易,若铃响则表示交易成功,同时扣除相应的费用,否则之前选购的商品将清空; 4. 余额可以退币,也可继续购买,将拨码开关1往上拨,LED灯全亮,表示余额已退 -1. In this study, two key processes were simulated coin, the
  3. 所属分类:software engineering

    • 发布日期:2017-03-31
    • 文件大小:507534
    • 提供者:Lin
  1. vending_vhdl

    0下载:
  2. 1、机器有一个投币孔,每次只能投入一枚硬币,但可以连续投入多枚硬币。机器能识别的硬币金额为1元,5角和1角。顾客可选择的饮料价格有1元,1元5角,2元三种。每次只能售出1瓶饮料。 2、购买饮料时先选择饮料价格再投币,当投入的硬币总金额达到或超过饮料价格后,机器发出指示信号并拒收继续投入的硬币。顾客投币后,按动确定键,机器将发出饮料和找零硬币,若所投金额不足,则发出欠资信号指示。在欠资情况下,顾客可以继续投币购买,也可按取消键,机器将退出所投入的全部金额。 3、顾客投入硬币之后,如果未
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:291888
    • 提供者:蒋晓玲
  1. DrawCoin

    0下载:
  2. 这是一个画出硬币的小程序,运用VC++,比较适合初学者练手用-This is a small program to draw the coin, using VC++, more suitable for beginners to practice hand
  3. 所属分类:GUI Develop

    • 发布日期:2017-04-09
    • 文件大小:1723
    • 提供者:蒋瑾
  1. coin

    0下载:
  2. 长江游艇俱乐部在长江上设置了n 个游艇出租站1,2,…,n。游客可在这些游艇出租站租用游艇,并在下游的任何一个游艇出租站归还游艇。游艇出租站i 到游艇出租站j 之间的租金为r(i,j),1< =i< j < =n。试设计一个算法,计算出从游艇出租站1 到游艇出租站n 所需的最少租金。-coins
  3. 所属分类:Compiler program

    • 发布日期:2017-03-25
    • 文件大小:717
    • 提供者:wanghan
  1. Vending_machine

    0下载:
  2. 设计一台自动售货机,要求具备货物信息存储、进程控制、硬币处理、余额计算、自动找零、状态显示等功能。-Design a vending machine, requires cargo information storage, process control, coin handling, balance calculation, auto-change, the status display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:314820
    • 提供者:刘智虎
  1. 5

    0下载:
  2. Computer Vision and Computer Graphics can be thought of as opposite sides of the same coin.
  3. 所属分类:Document

    • 发布日期:2017-04-25
    • 文件大小:180427
    • 提供者:sruthi
  1. coin_Frequency

    0下载:
  2. 问题的提出:编程模拟抛硬币所得正面的频率图。假设每次抛10次为一事件, 记录每次得到正面的次数,共抛掷100000次,计算得到正面次数的概率发布,并绘图输出结果。 思路:数值概率算法常用于数值问题的求解,此类算法所得的往往是近似解,且近似解的精度 随计算时间的增加而不断提高,得到一定精度近似解就可以满足问题要求。-Of the problem: programming simulated coin flip from a positive frequency map. Assumin
  3. 所属分类:Home Personal application

    • 发布日期:2017-04-06
    • 文件大小:9062
    • 提供者:chuck
  1. pannigame

    0下载:
  2. 潘尼游戏 连续抛一枚硬币三次,所出现的组合有8种情况,正正正,正正反.......反反反。 潘尼游戏是一方先任选一种组合,然后另一方再从剩余的组合中选择一种。然后开始抛硬币,谁选定的组合先出现谁就获胜。 注意这其中的陷阱,后选一方可以根据先选一方的组合来决定自己的所要选择的组合。诀窍是:后手方选择的组合的后两项是先手组合的前两项,而第一项与最后一项相反。比如先手组合是“正正反”,则后手选择组合“反正正”;先手组合是“正反正”,则后手选择组合“正正反”。 所以这些组合是相生
  3. 所属分类:SQL Server

    • 发布日期:2017-03-24
    • 文件大小:1113
    • 提供者:李超逸
  1. yingbigame1

    0下载:
  2. 在游戏开始之前,在桌上将三个硬币放置成一条直线。游戏开始的时候,中间一个硬币是背面朝上,其他两个硬币是正面朝上。游戏目标是改变硬币的摆放形式,让中间一个硬币正面朝上,其他两个硬币背面朝上。-In the game before the start of the three coins on the table will be placed into a straight line. When the game started, the middle of the back of a coin
  3. 所属分类:Other systems

    • 发布日期:2017-04-11
    • 文件大小:606
    • 提供者:林琳
  1. pl_autoVHDL

    0下载:
  2. 自动售货机VHDL。具有货物信息存储,进程控制,硬币处理,余额计算,显示等功能。-Vending machine VHDL. With the cargo information storage, process control, coin handling, balance calculation, display and other functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:143397
    • 提供者:liulu
  1. moneyarr

    0下载:
  2. 计算一元钱硬币有多少种表达方式。例如,可以使用1元钱完成,也可以使用两个5角完成。这里可供选择的货币单位从1分到1元。编写程序计算出每一种组合方式。-Calculate the number of species of a dollar coin the expression. For example, you can use a dollar to complete, you can use to complete two five corner. Here alternative monet
  3. 所属分类:Java Develop

    • 发布日期:2017-04-02
    • 文件大小:636
    • 提供者:仲俭
  1. csdp6.1.0winp4

    0下载:
  2. 一个求解半正定规划的数值算法包,包括 C 语言实现的源代码和 MATLAB 的调用接口。这是目前项目发布的最新版本 6.1.0。-Copyright 1997-2010, Brian Borchers. This copy of CSDP is made available under the Common Public License. See LICENSE for the details of the CPL. CSDP is a software package f
  3. 所属分类:matlab

    • 发布日期:2017-04-09
    • 文件大小:1344764
    • 提供者:bsmyht
  1. MDB_Communication

    1下载:
  2. The class of CMDBCOMMUNICATION is the class for controling the Bill Validator and Coin Charger based on the protocol of MDB(Multi-Drop Bus),The following words will show you the meanings of relative variable and function in details.
  3. 所属分类:串口编程

    • 发布日期:2013-01-19
    • 文件大小:17992
    • 提供者:悠游客
  1. ZiDongShouHuoJi(VHDL)

    0下载:
  2. 自动售货机VHDL程序与仿真 功能:货物信息存储,进程控制,硬币处理,余额计算,显示等功能。 --说明:显示的钱数coin的 以5角为单位。 --最后修改日期:2010.3.23。 -vending machine(VHDL)program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:143392
    • 提供者:梁辰
  1. random

    0下载:
  2. The many applications of randomness have led to the development of several different methods for generating random data. Many of these have existed since ancient times, including dice, coin flipping, the shuffling of playing cards, the use of yarrow
  3. 所属分类:Modem program

    • 发布日期:2017-04-04
    • 文件大小:987
    • 提供者:hyunjun.ahn
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 20 »
搜珍网 www.dssz.com