CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - cpld verilog

搜索资源列表

  1. Altera

    0下载:
  2. VHDL verilog fpga cpld-DIGITAL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:249214
    • 提供者:yr
  1. CPLDaVerilog

    0下载:
  2. 详细讲解Verilog开发CPLD的过程,适合初学者-Explain in detail the process of Verilog CPLD development suitable for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-20
    • 文件大小:32623631
    • 提供者:jorley
  1. AVR_Core.tar

    0下载:
  2. CPLD例程(语言)\《Verilog HDL数字控制系统设计实例》\AVR_Core.tar.gz-.rar-CPLDprogram dialogue /Verilog language design examples
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:66549
    • 提供者:spring
  1. keyboardcontroller.tar

    0下载:
  2. CPLD例程(语言)\《Verilog HDL数字控制系统设计实例》\keyboardcontroller.tar.gz。rar-CPLDprogram dialogue /Verilog language design examples
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:5287
    • 提供者:spring
  1. qiangdaqi

    0下载:
  2. verilog hdl实现的三路抢答器,一个复位键,八个数码管,五个LED灯,晶振为12 MHz 采用CPLD 器件为ALTERA 的EPM7064SL-44芯片 -verilog hdl implementation of three-way Responder, a reset button, eight digital control, five LED lights, crystal is 12 MHz ALTERA CPLD device is using the E
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1811
    • 提供者:李瑞芳
  1. qiangdaqilunwen

    0下载:
  2. verilog hdl实现的三路抢答器,一个复位键,八个数码管,五个LED灯,晶振为12 MHz 采用CPLD 器件为ALTERA 的EPM7064SL-44芯片 -verilog hdl implementation of three-way Responder, a reset button, eight digital control, five LED lights, crystal is 12 MHz ALTERA CPLD device is using the E
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:93087
    • 提供者:李瑞芳
  1. chuankou

    0下载:
  2. 用Verilog HDl语言实现CPLD与电脑的串口通讯-Use Verilog HDL to make it communicate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:429805
    • 提供者:fuxingyin
  1. 11111

    0下载:
  2. 1、用FPGA/CPLD实现HS162字符液晶显示。 2、分析相应的功能要求,分析CPLD与字符液晶HS162的接口典型电路。 3、利用状态机的设计方法,通过指令编程实现对HS162-4液晶模块的读/写操作,以及屏幕和光标的操作。 4、编写模块的Verilog HDL语言的设计程序。 5、在Quartus II软件或其他EDA软件上完成设计和仿真。 -This design of a CPLD-based controls HS162 to achieve character
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1046396
    • 提供者:kevin mk li
  1. uartverilog

    0下载:
  2. 串口收发程序verilog版本,适用于ALTERA的CPLD-Serial transceiver Verilog version, applicable in ALTERA CPLD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:307932
    • 提供者:刘茜
  1. UART_verilog

    0下载:
  2. 电脑发数据,CPLD接收后会送电脑的,verilog程序,可以直接使用-Computer to send data, the CPLD will be sent after receiving the computer, Verilog program can be used directly
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4457
    • 提供者:鲁先生
  1. Verilog_div_frequency

    0下载:
  2. 本文使用实例描述了在 FPGA/CPLD 上使用 Verilog进行分频器设计,主要包括50 占空比的奇数分频. -This article uses the example describes the crossover design using Verilog in FPGA/CPLD, including the 50 duty cycle odd divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:273523
    • 提供者:唐阳
  1. Utaxis

    0下载:
  2. 用verilog写的基于cpld的出租车计费器的源源码,需要的参考一下 ,经测试可直接使用。 -Verilog write source based the cpld taxi meter source reference, the test can be used directly.
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-08
    • 文件大小:1286654
    • 提供者:干预
  1. fVerrilog_Devr

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BBCD码,加法器,减法器,简简单易懂状态机,四位比较器,7段数码管,i2c总线,lcd液晶LCD显示出来,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟 可直接使用。 -Friends, I Jawen. See previous upload a CPLD Development Board VHDL so
  3. 所属分类:Windows Develop

    • 发布日期:2017-12-05
    • 文件大小:3170695
    • 提供者:qtzx
  1. Example-8-1

    0下载:
  2. 我的观点是Verilog和VHDL对于高手而言各有利弊,Verilog感觉更适合于RTL(寄存器传输级)的描述,而VHDL更适于System级的建模。 但是初学者强烈建议学习Verilog,更容易入手些,但是学习过程中一定要注意下面一点,毕竟国内外大公司现在大都采用Verilog是有其原因的。 l FPGA/CPLD、ASIC的逻辑设计所采用的硬件描述(HDL)语言是同软件语言(如C,C++等)是有本质区别的!虽然Verilog很多语法规则和C语言相似,但是Verilog是硬件描述
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:430406
    • 提供者:王锋
  1. minicpu(compiler-8bit)

    0下载:
  2. CISC microprocessor IP core & 8 bit compiler, verilog语言编写,可在FPGA和CPLD上综合实现,结构类似Intel 8085-CISC microprocessor IP core and 8-bit compiler, verilog language, FPGA and CPLD comprehensive realization .structure is similar to Intel 8085
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-16
    • 文件大小:104486
    • 提供者:gingercorn
  1. CPLD_18b20_uart

    0下载:
  2. 温度传感器采集数据给cpld,然后由串口上传到上位机;编程语言是verilog;-Temperature sensor collected data to the the cpld, then uploaded to the host computer by serial programming language verilog
  3. 所属分类:Project Design

    • 发布日期:2017-11-20
    • 文件大小:494654
    • 提供者:房贷
  1. UART

    0下载:
  2. verilog语言编写在CPLD上构建一个遵循串口通信规范的程序-verilog language serial procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-04
    • 文件大小:160343
    • 提供者:邹宇汉
  1. RD1088_rev01.2

    1下载:
  2. FPGA或CPLD读取SD卡的IP核,基于wishbone接口,支持SDHC2.0,包含了使用说明,为Verilog语言编写-FPGA or CPLD reads the SD card IP core, based on the wishbone interface, support SDHC2.0, contains instructions for the Verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-10-03
    • 文件大小:1403904
    • 提供者:andy
  1. CummingsSNUG2002SJ_FIFO1_rev1_1

    0下载:
  2. FIFO设计,采用verilog语言编写,相当不错,验证可行-Altera FPGA CPLD design (Basics) CD-ROM1
  3. 所属分类:Parallel Port

    • 发布日期:2017-11-10
    • 文件大小:132346
    • 提供者:pengqianqian
  1. a_vhd_16550_uart_latest.tar

    0下载:
  2. vhdl-fpga-c++-c-wireless networks-linux-verilog-cpld-arm-dsp
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-11
    • 文件大小:119911
    • 提供者:Saeid Marandi
« 1 2 ... 4 5 6 7 8 910 11 »
搜珍网 www.dssz.com