CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - quartus

搜索资源列表

  1. ChangePinFormat

    0下载:
  2. Protel画FPGA原理图时导出的引脚文件,不能直接用于Quartus的的引脚绑定。该程序可以将其格式转换为Quartus认可的文件。大家也可根据自己情况修改。-Protel schematic drawing FPGA pin when the exported file can not be directly used in the Quartus pin bindings. The program can be converted to the format approved Quart
  3. 所属分类:Other systems

    • 发布日期:2017-05-10
    • 文件大小:2345069
    • 提供者:chinaclock
  1. FLASH_SDRAM

    0下载:
  2. 利用QUARTUS II的SOPC设计的Nios II系统,检测Flash模块和SDRAM模块是否可用。对于设计之前的模块检测有一定参考价值。-Use of QUARTUS II of the Nios II system, SOPC designs, testing Flash module and SDRAM module is available. Prior to testing for the design of the modules have a certain reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-30
    • 文件大小:12326049
    • 提供者:Sean Zhong
  1. eda

    0下载:
  2. 介绍Quartus II软件的使用流程,以及FPGA硬件设备的使用。-Describes the use of Quartus II software, processes, as well as the use of FPGA hardware.
  3. 所属分类:software engineering

    • 发布日期:2017-05-16
    • 文件大小:4123176
    • 提供者:zhu
  1. 2c8_lcd12864

    0下载:
  2. 用quartus8.1创建的基于ALter公司的EP2C8的12864液晶显示一幅画的完整工程文件。-Quartus8.1 created using the company' s EP2C8 based ALter liquid crystal display a picture of the 12864 complete project file.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:151195
    • 提供者:胡丹
  1. QII_9.1CRACK

    0下载:
  2. Altera.Quartus.II.v9.1 -Altera.Quartus.II.v9.1
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:908470
    • 提供者:lightning
  1. NIOSRUANJIANKAIFAYINGYONGSHOUCHE

    0下载:
  2. NIOS软核的开发教程,绝对超值,包括nios2 software developer handlebook以及QUARTUS II开发手册等-the application of NIOS II and nios2 software developer handlebook,of course,there is the most important courseware of NIOS II.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-27
    • 文件大小:10209315
    • 提供者:xiaozhaofeng
  1. MATLABgeneratemifhex

    0下载:
  2. MATLAB生成mif、hex(QUARTUS II)文件简介-MATLAB generate mif, hex (QUARTUS II) paper provides a brief
  3. 所属分类:matlab

    • 发布日期:2017-04-03
    • 文件大小:127639
    • 提供者:Kevin
  1. ddr-sdram-verilog-resource

    0下载:
  2. 描述了ddr_sram的源代码,包括SDRAM的引脚功能介绍和Verilog在modulesim及quartus ii的实现-descr iption the resource code of ddr_sram
  3. 所属分类:Other systems

    • 发布日期:2014-09-10
    • 文件大小:896360
    • 提供者:wangyuzhuo
  1. DE2_70_TOP

    0下载:
  2. 在quartus上实现电子锁的设计,采用cyclone的板子,方便设置初始密码,更新密码-Quartus to achieve in the design of electronic locks, using cyclone of the board, easy to set the initial password, update password
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:726861
    • 提供者:崔海
  1. 08-Multiplexers

    0下载:
  2. vhdl code for adder for quartus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:173339
    • 提供者:jaydeep
  1. i2c_bus

    0下载:
  2. i2c总线控制器的verilog的实现,编译环境quartus-i2c bus controller verilog implementation, build environment quartusII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:496787
    • 提供者:杜征宇
  1. traffic

    0下载:
  2. 交通灯,通过程序设置一定的时间后,通过quartus编译下载到FPGA上,实现交通灯的功能-traffic light,which was used by program,then,set the time ,it can work as a true traffic light.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4730
    • 提供者:tsaiwin
  1. digital_frequency

    0下载:
  2. 用verilog实现数字频率计的设计,具有自动换挡功能,采用t法和m法设计,低频显示周期。量程为0.5~10Mhz。开发环境为quartus-This is a method of designing a digital frequency-measuring device. It can measure frequency ranging from 0.5Hz to 10MHz. It is developed in the program of Quartus.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:492879
    • 提供者:孙岩
  1. Modelsim

    0下载:
  2. 讲述了如何使用modelsim和quartus||来联合仿真生成的激励。-Describes how to use modelsim and quartus | | to generate incentives for co-simulation.
  3. 所属分类:Document

    • 发布日期:2017-03-28
    • 文件大小:237482
    • 提供者:zhaohang
  1. time

    0下载:
  2. 本文介绍了利用quartus II软件进行的多功能数字时钟设计,实现保持、清零、快速校时、快速校分、整点报时、彩铃闹钟、星期显示等功能,并对每一模块分别进行解释。-This paper describes quartus II software, multi-functional digital clock design, implementation, maintain, clear, fast school, the rapid school hours, the whole point o
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:735390
    • 提供者:elaine
  1. verilogRS

    0下载:
  2. 该文件为基于fpga的RS(204.188)译码器的verilong源代码,使用的Quartus II的开发环境,已经通过编译,需要者可以自己下载在编译简历工程使用-The document is based on fpga' s RS (204.188) decoder verilong source code, use the Quartus II development environment, has been compiled by the need to download th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:13675
    • 提供者:bobo
  1. quartusii9.1_handbook

    0下载:
  2. quartusii9.1_handbook用户手册吗,是最新版的altera fpga开发软件资料,altera官方资料,是学习altera fpga的必备资料,(全英文版)中文版我会尽快上传-quartusii9.1_handbook user manual you, is the latest version of the altera fpga software development information, altera official information is essentia
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-12
    • 文件大小:19391504
    • 提供者:bobo
  1. quartus_ii_operation_processes_jh

    0下载:
  2. 自己总结的quartus II的简单实用说明,五分钟让您掌握如何建立quartus工程。-His summary of the quartus II' s simple and practical instructions in five minutes you learn how to build quartus project.
  3. 所属分类:Project Manage

    • 发布日期:2017-04-06
    • 文件大小:181173
    • 提供者:风云
  1. quartus_IItextbook

    0下载:
  2. Handbook of Quartus II.
  3. 所属分类:software engineering

    • 发布日期:2017-05-11
    • 文件大小:2068505
    • 提供者:樊博
  1. lili

    0下载:
  2. 基础VHDL学习,掌握VHDL程序的结构和熟悉QuartusⅡ的VHDL文本设计流程全过程,学习简单祝贺电路的设计、多层次电路设计、仿真和硬件测试。-VHDL based learning, to master the structure of VHDL procedures and familiar with the Quartus Ⅱ of the VHDL design flow the text the whole process of learning a simple congrat
  3. 所属分类:Graph program

    • 发布日期:2017-04-02
    • 文件大小:888857
    • 提供者:fox
« 1 2 ... 41 42 43 44 45 4647 48 49 50 »
搜珍网 www.dssz.com