CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - rtl

搜索资源列表

  1. RTL

    0下载:
  2. verilog的学习很重要的教程,有很大的好处。-verilog tutorial learning is important, a great advantage.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:886560
    • 提供者:ethan
  1. i2c

    1下载:
  2. I2C verilog代码,支持master和slave方式,内置CPU接口-I2C verilog RTL code, support master and slave mode
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-12-12
    • 文件大小:13312
    • 提供者:dingyy
  1. KeiluV3RvRTL

    0下载:
  2. keil编译软件中关于RV和RTL的应用说明-keil compiler software applications on the RV and the RTL descr iption
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:1003193
    • 提供者:陈鸽
  1. RTLtoverilogcode

    0下载:
  2. 从RTL到门级电路的等价性验证设计方法的硕士论文-From RTL to gate-level equivalence checking circuit design master' s thesis
  3. 所属分类:SCM

    • 发布日期:2017-05-17
    • 文件大小:4402476
    • 提供者:钱慧
  1. src

    0下载:
  2. FIR滤波器的设计,完整包括RTL代码、testbench等,清晰易懂。-FIR filter design, complete coverage of RTL code, testbench, etc., clear and understandable.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:9183
    • 提供者:秋田
  1. fir_compiler

    0下载:
  2. FIR编译器。自动生成具有用户自定参数的FIR滤波器。 在 matlab里面设计滤波器,matlab里面设计输入字长。生成的rtl代码是该文件的头部有位宽宏定义,可以自行查阅。 -FIR Compiler. Automatically generate a user-defined parameters of FIR filters. Design a filter inside the matlab, matlab which design input word length. Rtl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2137038
    • 提供者:秋田
  1. reset

    0下载:
  2. 这是个关于同步复位和异步复位问题的探讨,最后得出同步释放,异步复位的效果最好 文件中有编好的verilog文件工程,以及仿真结果和RTL分析图,分析的很详细-This is a synchronous reset and asynchronous reset on the issue of the conclusion that synchronous release, asynchronous reset of the best documents are programmed veril
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:550300
    • 提供者:maohuhua
  1. 8088verilog

    0下载:
  2. intel8088的verilog core ,完整的RTL-intel 8088 verilog core, all RTL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:206517
    • 提供者:zhangq
  1. i2c

    0下载:
  2. I2C的RTL源码,verilog,验证过的-I2C verilog RTL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:88801
    • 提供者:zhangq
  1. Full.adder

    0下载:
  2. Verilog的RTL级别全加器和测试平台,测试通过-Verilog RTL level full adder and test benck
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1011
    • 提供者:
  1. GCD

    1下载:
  2. Verilog 最大公约数设计RTL级代码和芯片设计图-Verilog GCD Design and synthesis layout
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:220377
    • 提供者:
  1. Fpga_And_Cpld

    0下载:
  2. Fpga_And_Cpld设计经验总结,在数字电路的设计中,时序设计是一个系统性能的主要标志,在高层次设计方法中,对时序控制的抽象度也相应提高,因此在设计中较难把握,但在理解RTL电路时序模型的基础上,采用合理的设计方法在设计复杂数字系统是行之有效的,通过许多设计实例证明采用这种方式可以使电路的后仿真通过率大大提高,并且系统的工作频率可以达到一个较高水平。-In digital circuit design, timing design is a main indicator of system
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1444103
    • 提供者:枫蓝
  1. Modelsim--script-usage

    0下载:
  2. modelsim是Mentor graphics公司推出的HDL代码仿真工具,也是业界最流行的HDL仿真工具之一。支持图形界面操作和脚本操作。常见的图形界面操作相对直观,但是由于重复性操作几率高、处理效率低、工程的非保存性,对于大规模的代码仿真不推荐使用;脚本操作完全可以克服以上的缺点,把常见的命令,比如库文件和RTL加载、仿真、波形显示等命令编辑成.do脚本文件,只需要让Modelsim运行.do文件即可以完成仿真,智能化程度高。本文重点介绍Modelsim常见命令的使用,以及如何使用.do
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:374360
    • 提供者:liangyao
  1. rtl

    0下载:
  2. LCD1602 Verilog 代码实现。包括数据读写,地址读写,初始化。支持4位总线格式。注意:此程序已经在ML506板子上验证过。本人花了好几天调试,开发出来的。值得推荐。-Verilog coding for LCD1602 display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:20046
    • 提供者:liangyao
  1. LowPOWER_ENCOUNTER

    0下载:
  2. low power in ENCOUNTER,RTL COMPLIER-low power in ENCOUNTER, RTL COMPLIER
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-08
    • 文件大小:773101
    • 提供者:erin
  1. rtl

    0下载:
  2. 基于verilog的FPGA新型跑马灯程序设计-led run
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:532
    • 提供者:austin
  1. statemachine

    0下载:
  2. RTL级verilog代码 用状态机实现 将输入数据写入16位寄存器,输出其除以7所得的余数(4位)-RTL-lever verilog code Using FSM to realize the following function:input the data into a 16bit register, divide it by 7, and output the 4-bit remainder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:897
    • 提供者:Gary
  1. AHB_to_Wishbone_Verilog

    0下载:
  2. 该源代码包是AHB总线到Wishbone总线的交接器,包括以下4个部分:RTL源代码,测试平台,软件测试程序,说明文档。-This source package is the AHB bus to Wishbone bus bridge(wrapper).It has the following 4 parts: RTL codes, testbench, software simulating files, help documents.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:2077381
    • 提供者:jinjin
  1. cpu

    0下载:
  2. 设计一个简化的处理器(字长8位),并使其与内存MEM连接,协调工作。用VHDL以RTL风格描述。该处理器当前执行的指令存放在指令寄存器IR中。处理器的指令仅算逻指令和访问内存指令)。-Design a simplified processor (8-bit word length), and connect it with the memory MEM, and coordination. Described with VHDL in RTL style. The processor is c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4740271
    • 提供者:jinxf
  1. Example-4-8

    0下载:
  2. always模块的敏感表为电平敏感信号的组合逻辑电路 这种形式的组合逻辑电路应用非常广泛,如果不考虑代码的复杂性,几乎任何组合逻辑电路都可以用这种方式建模。always模块的敏感表为所有判定条件和输入信号,请读者在使用这种结构描述组合逻辑时,一定要将敏感表写完整。在always模块中可以使用if…else…、case、 for循环等各种RTL关键字结构 assign等语句描述的组合逻辑电路 这种形式的组合逻辑电路适用于描述那些相对简单的组合逻辑,信号一般被定义为wire型,常用
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:42033
    • 提供者:林立
« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 ... 25 »
搜珍网 www.dssz.com