CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - rtl

搜索资源列表

  1. Writing-Testbenches

    0下载:
  2. 如何写RTL的测试平台,仿真模型,进行系统验证。-Writing Testbenches-Functional Verification of HDL Models(2nd)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-30
    • 文件大小:12642723
    • 提供者:cuixx
  1. ALU

    0下载:
  2. verilog硬件仿真,实现32-bit RISC微处理器的算数逻辑单仿真元(ALU),实现加减运算、逻辑运算、移位运算。仿真级别为RTL级。-verilog hardware simulation, to achieve 32-bit RISC microprocessor arithmetic logic one simulation element (ALU), to achieve addition and subtraction operations, logic operations
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:2912
    • 提供者:
  1. rtl

    0下载:
  2. STOPWATCH,alarm,clock 功能的数字钟-General Digital Clock Clock setting with Switch – Use Key_up and Key_down key to change the number – Use Key_right and Key_left key to change the position – Use set key to start Clock Alarm Function – Use Ala
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:7931
    • 提供者:赵香君
  1. vmm_rtl_config

    0下载:
  2. 采用vmm rtl config的例子-Examples of using vmm rtl config
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3003
    • 提供者:诸葛龙
  1. PWM_extend

    0下载:
  2. 本代码采用RTL级的硬件描述语言设计了一个多通道的PWM波形捕获、输出模块。主要用在无人机或是其它需要控制多个伺候电机的场合。开发环境为Xilinx公司的ISE12.0。-This code uses RTL-level hardware descr iption language designed a multi-channel PWM waveform capture, output module. Mainly used in the need to control multiple un
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5454038
    • 提供者:陈松
  1. SPI

    0下载:
  2. 对SPI协议的RTL实现,相当典型的一个例子。包括时钟分频,移位逻辑等等。-the verilog for spi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:597875
    • 提供者:刘明
  1. switch_avr32

    0下载:
  2. Very low cost smart switch design. single atmega32 chip turns any RTL8309 based network switch into managed switch with all options supported by RTL chip. Switch can be accessed by telnet or com port.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-03
    • 文件大小:184367
    • 提供者:Digix
  1. 6soft_247MHz_channel

    0下载:
  2. lte上行信道解交织解复用: RTL: ack_addr_gen.vhd ack地址产生 data_addr_gen.vhd 数据地址产生 de_interl_mux_con_ctrl.vhd 控制单元 de_interl_mux_con_top.vhd 顶层 de_interl_mux_con_tt.vhd 测试平台 de_mux_ram.vhd ram deinterl_pack.vhd 变量定义 delay.vhd 延迟 delayb.vhd 延迟
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:200642
    • 提供者:renliang
  1. 836335-IEEE-Standard-for-VHDL-Register-Transfer-L

    0下载:
  2. IEEE Standard for VHDL Register Transfer Level (RTL) Synthesis
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:204449
    • 提供者:T. H. Sutikno
  1. 1342563-IEEE-Standard-for-VHDL-Register-Transfer-

    0下载:
  2. 1076.6TM IEEE Standard for VHDL Register Transfer Level (RTL) Synthesis
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:496260
    • 提供者:T. H. Sutikno
  1. switch

    0下载:
  2. It is switch design (RTL) implemented in verilog and have a verification environment in verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:2228
    • 提供者:urvish
  1. design-of-CAN-based-on-VHDL

    1下载:
  2. 基于Verilog+HDL设计CAN控制器,详细介绍各功能模块的设计。本论文的重点是CAN总线通信控制器的前端设计。即用Verilog HDL语言完成CAN协议的数据链路层的RTL级设计,实现其功能,并且能够在FPGA开发平台Quartos上通过仿真验证,证明其正确性-Verilog+ HDL-based design of CAN controller, detailed design of each functional module. This paper focuses on the C
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2615796
    • 提供者:chen xinwei
  1. the-verilog-source-code-of-8051-MCU

    0下载:
  2. 8051单片机的源代码,用verilog进行编写,包括测试文件-source code of 8051 MCU
  3. 所属分类:SCM

    • 发布日期:2016-01-22
    • 文件大小:310272
    • 提供者:许伟涛
  1. VENDTEST

    0下载:
  2. 此为实现第14.7.9章所需的激励文件 该代码为门级RTL描述。-Stimulus file to verify Section 14.7.9 the functionality of gate vs. RTL descr iption.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:557
    • 提供者:
  1. FPGA

    0下载:
  2. 在数字电路的设计中,时序设计是一个系统性能的主要标志,在高层次设计方法中,对时序控制的抽象度也相应提高,因此在设计中较难把握,但在理解RTL电路时序模型的基础上,采用合理的设计方法在设计复杂数字系统是行之有效的,通过许多设计实例证明采用这种方式可以使电路的后仿真通过率大大提高,并且系统的工作频率可以达到一个较高水平。-In digital circuit design, timing design is a main indicator of performance in high-level
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:482155
    • 提供者:wangxiaoyu
  1. rtl

    0下载:
  2. led and 7segment with verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1072
    • 提供者:farshad
  1. decimator

    0下载:
  2. Digital filter in delta-sigma ADC. But only work for RTL code now. Still have bugs in gate-level simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1067
    • 提供者:DrCheese
  1. VerilogDesignand-test_PdfPCode

    0下载:
  2. Verilog 设计与验证源码+PDF,经典教程,对与RTL和状态机的理解有很大帮助,适合FPGA开发工程师。-Verilog design and verification source+ PDF, classic tutorial, and state machine understanding of RTL helps a lot, suitable for the FPGA development engineers.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-01
    • 文件大小:14328112
    • 提供者:李康
  1. TFT-LCD-Controller_design-20080602

    0下载:
  2. TFT LCD控制器详细设计说明,包括架构设计,原理设计,RTL代码设计等-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:496688
    • 提供者:liyilang
  1. vlsi_script0607

    0下载:
  2. RTL Design Guideline E-Book
  3. 所属分类:software engineering

    • 发布日期:2017-06-20
    • 文件大小:31409355
    • 提供者:Chris
« 1 2 ... 8 9 10 11 12 1314 15 16 17 18 ... 25 »
搜珍网 www.dssz.com