CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - timer vhdl

搜索资源列表

  1. VHDLProgramingLearn

    0下载:
  2. VHDL编程心得体会 包括进程、时钟、变量信号等应该注意的地方-VHDL process、Timer、signal and other things that is easy make mistake
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:108013
    • 提供者:happsky
  1. led

    0下载:
  2. 定时器中断的例程,实现一秒定时,并在led灯上显示- Writes routine which a timer interrupts, realizes one second fixed time, and demonstrated on the led lamp
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:3259
    • 提供者:蔡林
  1. VHDL-0.1s-Timer

    0下载:
  2. 该程序完成了在altera de2 环境下实现0.1s新型计时器,该计时器可以运用于广大体育赛事中,有开关、暂停开始键、复位键。-The program completed the implementation in altera de2 0.1s under the new timer, which can be applied to the majority of sports events, a switch, pause start button, reset button.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:66399
    • 提供者:koping
  1. 0.01s-Timer-designed-in-VHDL

    0下载:
  2. 该设计方案是用VHDL语言实现0.01s计时器,该方案列出了详细的开发过程和所有源代码,并虽有仿真结果-The design solution is to use VHDL language 0.01s timer, the program lists the detailed development process, and all source code, and although the simulation results
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:702548
    • 提供者:koping
  1. Horloge_1_A

    0下载:
  2. Timer vhdl 24hours with alarm_setup CDSE_powaa !
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1461958
    • 提供者:buoul
  1. VHDL-ALARM

    1下载:
  2. 要求设计一个带闹钟功能的24小时计时器 它包括以下几个组成部分: ① 显示屏:4个七段数码管显示当前时间(时:分)或设置的闹钟时间;一个发光二极管以1HZ的频率跳动,用于显示秒; ② 按键key1,用于设置调时还是调分; ③ 按键key2,用于输入新的时间或新的闹钟时间,每按下一次,时或分加1; ④ TIME(时间)键,用于确定新的时间设置; ⑤ ALARM(闹钟)键,用于确定新的闹钟时间设置,或显示已设置的闹钟时间; ⑥ 扬声器,在当前时钟时间与
  3. 所属分类:软件工程

    • 发布日期:2017-03-26
    • 文件大小:259321
    • 提供者:洪巨成
  1. timer

    0下载:
  2. 基于vhdl的单片机最小系统定时器模块。Timer模块-Timer Module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1832
    • 提供者:刘源
  1. VHDL-Finished-Homework

    0下载:
  2. 有闹钟功能,可以定时的电子时钟,还可以设定定时时间-Have alarm clock function, the electronic clock timer, you can also set the regular time
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:770580
    • 提供者:liaojiawen
  1. 4-BIT-TIMER

    0下载:
  2. VHDL code for four bit timer using J-K Flip flop
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:35537
    • 提供者:saurabh
  1. vhdl

    0下载:
  2. 基于PicoBlaze的实时时钟设计。PicoBlaze是Xilinx的8位软核。采用汇编语言编写。-Uart real timer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3995289
    • 提供者:扑天雕
  1. timer

    0下载:
  2. VHDL 实现定时器 嵌入式单片机 编程-VHDL Timer embedded microcontroller programming
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:3606
    • 提供者:xue ling
  1. timer

    0下载:
  2. 自己做的计时秒表VHDL语言程序,运行良好,一切俱全。-Own stopwatch VHDL language program, run good, all taste.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:2725110
    • 提供者:danie
  1. clock

    0下载:
  2. 数字计时器的vhdl实现,quartus 和 modelsim 仿真-Digital timer vhdl achieve quartus and modelsim simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:4528084
    • 提供者:金浩强
  1. Design-of-VHDL-based-digital-timer

    0下载:
  2. 基于VHDL的数字计时器的设计 基于VHDL的数字计时器的设计-Design of VHDL-based digital timer
  3. 所属分类:software engineering

    • 发布日期:2017-11-04
    • 文件大小:111025
    • 提供者:凉均兄
  1. VHDL

    0下载:
  2. 一些简单基本的vhdl代码源程序,包扩三八译码器,数据选择器,30s倒计时器等-Some simple basic VHDL source code procedures, bag expanding 38 decoder, data selector, 30 s down timer, etc
  3. 所属分类:Other systems

    • 发布日期:2017-11-10
    • 文件大小:1292
    • 提供者:陆乘风
  1. timer

    0下载:
  2. 定时器,VHDL 实现,希望对大家有用,共同学习-the timer with vhdl
  3. 所属分类:Other systems

    • 发布日期:2017-12-07
    • 文件大小:5071
    • 提供者:ycy
  1. timer

    0下载:
  2. 基于VHDL语言的一个简单秒表,包含按键消抖模块、数码管译码、计时器等模块。直接适用于basys2和nexys3两个开发板。更改ucf文件后适用于其他开发板-A simple stopwatch based on VHDL, including key debounce module, digital decoder, timers and other modules. Directly applicable to basys2 and nexys3 two development boards
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:16547
    • 提供者:潘健森
  1. Timer

    0下载:
  2. Nexys 3 seven segment display module written in VHDL
  3. 所属分类:Other systems

    • 发布日期:2017-05-09
    • 文件大小:1704212
    • 提供者:zyh
  1. Top_LED_TUBE

    0下载:
  2. It is a timer VHDL code. The outputs are designed for 7-seg display.
  3. 所属分类:Project Design

    • 发布日期:2017-04-13
    • 文件大小:2482
    • 提供者:Mahpa
  1. 7-timer

    0下载:
  2. 本代码是实现计算器的功能,用的是VHDL语言编写,全部实现过程都在这里面。-This code is to achieve the functions of the calculator, using the VHDL language, to achieve full process on the inside
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:847475
    • 提供者:张天健
« 1 2 34 5 6 7 »
搜珍网 www.dssz.com