CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl code

搜索资源列表

  1. jpeg

    0下载:
  2. JPEG(Joint Photographic Expert Group,联合摄影专家组)编码的数据执行解压缩的各项功能.JPEG的VHDL实现代码-JPEG (Joint Photographic Expert Group, Joint Photographic Experts Group) encoding of data to implement the various functions of decompression. JPEG realization of VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3242413
    • 提供者:
  1. bpsk2

    0下载:
  2. 介绍qpsk解调的代码!初学者可以参考参考!比较简单.-Introduction QPSK demodulation code! Beginners can refer to reference! Relatively simple.
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-01
    • 文件大小:986
    • 提供者:daxiadian2
  1. fanzhen

    1下载:
  2. vhdl代码: 出租车计价器VHDL程序与仿真!初学fpga者可以参考参考!!比较简单-VHDL code: Taximeter VHDL procedures and simulation! FPGA beginner can reference a reference! ! Relatively simple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-07-07
    • 文件大小:86163
    • 提供者:daxiadian2
  1. timer

    0下载:
  2. vhdl代码:电子时钟VHDL程序与仿真!初学fpga者可以参考参考!!比较简单-VHDL code: electronic clock and simulation of VHDL procedures! FPGA beginner who can refer to reference! ! Relatively simple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:59406
    • 提供者:daxiadian2
  1. fangzhen

    0下载:
  2. vhdl代码: 采用等精度测频原理的频率计程序与仿真!初学fpga者可以参考参考!!比较简单-VHDL code: Using the principle of frequency measurement accuracy, such as the frequency of procedures and simulation! FPGA beginner who can refer to reference! ! Relatively simple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:123528
    • 提供者:daxiadian2
  1. core3DES

    0下载:
  2. Full Des Simulation Code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1887267
    • 提供者:esl
  1. CoreAES128

    0下载:
  2. Full AES Simulation Code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1339794
    • 提供者:esl
  1. display_control

    0下载:
  2. 一个LCD控制器的verilog源代码,可以方便的控制TFT LCD!-An LCD controller Verilog source code, can easily control TFT LCD!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:2021
    • 提供者:shi
  1. Manchester

    0下载:
  2. “Manchester码(双相码)编码器- Manchester Code (two-phase code) encoder
  3. 所属分类:File Formats

    • 发布日期:2017-03-29
    • 文件大小:973
    • 提供者:冯小晶
  1. husw

    0下载:
  2. 用VHDL语言设计维特比 解码器 是VHDL原代码用ModelSim XE III 6.3c软件实现仿真-Language Design with VHDL Viterbi decoder is the VHDL source code with ModelSim XE III 6.3c software simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1016
    • 提供者:hsw0320
  1. dds

    0下载:
  2. 使用VHDL硬件描述语言实现了直接频率合成器的制作,并在Altera公司的CycloneII上得到实现,验证了代码的正确性。用户操作可以参照程序中的说明,请使用QuartusII6.0以上版本打开,低版本打开时会有错误提示-Using VHDL hardware descr iption language to achieve a direct frequency synthesizer production, and Altera s CycloneII be realized, to ver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:105783
    • 提供者:xx
  1. MyState

    0下载:
  2. 这份是实验课上的教师和学生用的实例。关于用matlab simulink仿真状态机并生成vhdl代码的详细内容-The experimental class teachers and students to use examples. Matlab simulink simulation on the use of state machine and generates VHDL code details
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:968970
    • 提供者:张三
  1. ff

    1下载:
  2. QUARTUS II平台上的基于VHDL语言的电梯系统控制程序。-QUARTUS II platform based on the VHDL language elevator system control procedures.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:259270
    • 提供者:凌丽
  1. TLK

    0下载:
  2. 采用8253,8255,8259实现交通灯的控制,十字路口,有详细硬件连接图,软件代码以及详细的工作原理-8253,8255,8259 adopted to achieve the control of traffic lights, crossroads, and a detailed plan to connect the hardware, software code, as well as detailed working principle
  3. 所属分类:assembly language

    • 发布日期:2017-03-31
    • 文件大小:126531
    • 提供者:李立
  1. shizhong

    0下载:
  2. 这个VHDL与其他上传的代码不同,这个代码更适合于初学者。电子时钟已经在硬件上得到成功仿真。-From the VHDL code with other different, the code is more suitable for beginners. Electronic clock has been successful in the hardware simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1972
    • 提供者:
  1. Fifo

    0下载:
  2. 一个FIFO源代码,基于Altera FPGA-A FIFO source code, based on Altera FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1551
    • 提供者:jiashengwen
  1. sfs

    0下载:
  2. DW 256 DUP(?) STACK1 ENDS DDATA SEGMENT MES1 DB The least number is:$ MES2 DB 0AH,0DH, The largest number is:$ NUMB DB 0D9H,07H,8BH,0C5H,0EBH,04H,9DH,0F9H DDATA ENDS CODE SEGMENT ASSUME CS:CODE,DS:DDATA START: MOV AX,DDAT
  3. 所属分类:Communication

    • 发布日期:2017-03-23
    • 文件大小:882
    • 提供者:张于
  1. ask

    0下载:
  2. 提供一个把通信中ASK调制用VHDL来实现的例子,内附有相应的VHDL源程序。-To provide a communication ASK modulation achieved using VHDL example, enclosing a corresponding VHDL source code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:66686
    • 提供者:靳朝
  1. bxfsq

    0下载:
  2. 波形发生器的代码,具有产生正弦波、方波、三角波的功能。-Waveform Generator code has generated sine wave, square, triangle-wave function.
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:16831
    • 提供者:李仁刚
  1. ASK

    0下载:
  2. 通信常用码型,仅供大家参考。是自己编的,实际也跑通了。-Communications code commonly used type, for your reference. Is own, actual also had a run.
  3. 所属分类:Speech/Voice recognition/combine

    • 发布日期:2017-04-14
    • 文件大小:3082
    • 提供者:mary
« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 ... 50 »
搜珍网 www.dssz.com