CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 锁存器

搜索资源列表

  1. vhdl

    0下载:
  2. 抢答器的vhdl设计 设计任务: (1)设计一个可容纳4组参赛的数字式抢答器,每组设一个按钮,供抢答使用。 (2)抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。 (3)设置一个主持人“复位”按钮。 (4)主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,由指示灯显示抢答组的编号,同时扬声器发出2~3秒的音响。 扩展功能: (5)设置一个计分电路,每组开始预制100分,由主持人计分,答对一次加10分,答错一次减10分。 计要求: (1
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1335
    • 提供者:冷与
  1. mTouchDemoCap12F206

    2下载:
  2. PIC单片机采用SR锁存器功能写的电容触摸按键的代码mTouchDemoCap12F206-mTouchDemoCap12F206
  3. 所属分类:Driver Develop

    • 发布日期:2017-04-03
    • 文件大小:61743
    • 提供者:wugp
  1. eightbitLatch

    0下载:
  2. 一种8位锁存器,程序简单,为初学者提供,一种简单的数据锁存方式。-a kind of eight bits latch。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:549
    • 提供者:杨金磊
  1. FPGA_Design_tip

    0下载:
  2. FPGA设计技巧,锁存器与寄存器区别,状态机设计,门控时钟等-Improving Performance in Complex Programmable Logic Devices (CPLDs) with the FPGA Express Software
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:38260
    • 提供者:lurker
  1. latchesandflipflops

    0下载:
  2. Vhdl国外大学讲义,英文版,锁存器,触发器编写-Vhdl foreign university lectures, in English, latches, flip-flop to prepare
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:251082
    • 提供者:Kevin
  1. CT1668

    0下载:
  2. CT1668 是一种带键盘扫描接口的LED(发光二极管显示器)驱动控制专用电路,内部集成有MCU 数字接口、数据锁存器、LED高压驱动、键盘扫描等电路。本产品性能优良,质量可靠。采用SOP24封装形式。-CT1668
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:260734
    • 提供者:承阳朔
  1. suocunqi

    0下载:
  2. D锁存器VHDL语言描述。使能端有效时,Q《=D-D latch described in VHDL language. Enable effective end when, Q " = D
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-30
    • 文件大小:2069
    • 提供者:yuer
  1. LED

    0下载:
  2. 如何让51hei单片机学习板上的led灯点亮,一个很简单的程序,有详细的注释,适合刚入门的初学者学习锁存器的使用-How to light up 51hei microcontroller development board led light, a very simple procedure detailed notes, suitable for beginners to learn just started to latch
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-03
    • 文件大小:6635
    • 提供者:agdsafsd
  1. testing=74HC595

    0下载:
  2. 单片机开发中使用的移位锁存器,完整的仿真电路和测试C程序代码。-Microcontroller used in the development of shift latch, a complete simulation of the circuit and test C code.
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:86174
    • 提供者:xunan
  1. 8051and1601LCD

    0下载:
  2. 运用51单片控制锁存器译码器等,完成一个简单的计算器系统-Control the use of 51 single latch decoder and so on, to complete a simple calculator system
  3. 所属分类:assembly language

    • 发布日期:2017-04-25
    • 文件大小:38067
    • 提供者:shuyashuo
  1. shujusucun

    0下载:
  2. 利用GAL20V8编写的熔丝图文件,主要编写的是一个8位数据锁存器 ,可直接下载到器件中-Prepared using GAL20V8 fuse map file, the main preparation is an 8-bit data latches, can be directly downloaded to the device
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-04-08
    • 文件大小:1215
    • 提供者:luxin
  1. MCU_Design_traffic_lights

    0下载:
  2. 本次设计为十字路口交通灯控制系统设计,硬件部分它以8031单片机为核心,并在此基础上扩展了程序存储器(EPROM)2764、静态数据存储器(SRAM)6264,利用地址锁存器74LS373扩展I/O并行接口芯片8255A。软件部分它结合定时/计数等知识进行程序编译。-The design for the intersection traffic light control system design, hardware components it to 8031 as the core, and
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:43320
    • 提供者:jk
  1. display

    0下载:
  2. msp430 led 显示加串口通讯。使用锁存器-msp430 led display plus serial communication. Use latches
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:15071
    • 提供者:huangduojia
  1. regregreg

    0下载:
  2. 环境上没有看到verilog,才选VHDL 程序是verilog写的 实现电平敏感的1 位数据锁存器-function to complice reg with verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:167128
    • 提供者:Huangjinlai
  1. MAX187

    0下载:
  2. 实现ad转换,且12位串行数据输入,输出锁存器控制脉冲,用数码管显示电压值-Achieve ad conversion, and the shift input
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:129537
    • 提供者:luorenlei
  1. 7

    0下载:
  2. 既昨天的430串口程序后又添加了几个程序模块。3*4的矩阵键盘扫描以及通过锁存器IO口复用来驱动数码管。功能是这样:先对按键进行消抖,然后判断键值通过数码管显示通过串口发送出去。-Both procedures after yesterday' s 430 serial port to add a few program modules. 3* 4 matrix keyboard scanning and recovery through the latch to drive the di
  3. 所属分类:Com Port

    • 发布日期:2017-04-07
    • 文件大小:22391
    • 提供者:小贾
  1. hdlexample

    0下载:
  2. 基于HDL语言的一些基本程序,包括锁存器,编码器等等。-HDL language based on some basic procedures, including latches, encoder and more.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:811556
    • 提供者:Air
  1. adder2

    0下载:
  2. 此源代码是基于Verilog语言的持续赋值方式定义的 2 选 1 多路选择器 、阻塞赋值方式定义的 2 选 1 多路选择器、非阻塞赋值、阻塞赋值、模为 60 的 BCD码加法计数器 、模为 60 的 BCD码加法计数器、BCD码—七段数码管显示译码器、用 casez 描述的数据选择器、隐含锁存器举例 ,特别是模为 60 的 BCD码加法计数器,这是我目前发现的最优源代码,应用于解码器领域。-This source code is based on the Verilog language def
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1080
    • 提供者:王柔毅
  1. RTC

    0下载:
  2. ①在6个不带锁存器的数码管上,实现时钟的动态显示; ②当时钟显示不准时,实现时钟的实时校时功能; ③实现在数码管上、电脑上同步显示实时时钟信号 -① In the six non-digital pipe latches, dynamic display of the clock ② When the clock display are not allowed to achieve real-time clock when the function of the school ③
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:8582
    • 提供者:张建林
  1. dongtailed

    0下载:
  2. 单片机实现动态显示,为了少用I/O口,用锁存器控制-MCU dynamic display, in order to use less I/O port with latch control
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:21287
    • 提供者:yang
« 1 2 3 4 56 7 8 9 10 ... 14 »
搜珍网 www.dssz.com