CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 锁存器

搜索资源列表

  1. big-leds

    0下载:
  2. 51单片机 + 8位锁存器 + 4线-16线译码器 实现超大静态字体显示,显示字体16*16点阵 * 10。 主函数 10 行代码。-51 mcu, 8 bit latch and four to sixteen decorder. main function just ten lines.
  3. 所属分类:SCM

    • 发布日期:2017-11-30
    • 文件大小:147441
    • 提供者:黄海涛
  1. adsawfd

    0下载:
  2. 用Verilog HDL设计3线-8线译码器,ena是译码器的使能控制端,当ena=1时译码器工作,ena=0时译码器被禁止,8个输出均为高电平 用Verilog HDL设计具有三态输出的8D锁存器。-3-to-8 line decoder, ENA is designed using Verilog HDL the decoder enable control terminal, when ena = 1 time decoder, ENA = 0 time decoder is disa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:596
    • 提供者:赵玉著
  1. shumaguan

    0下载:
  2. STC系列单片机————运用74HC595锁存器 矩形键盘按键在数码管上显示相应数字-The STC MCU---- use 74HC595 latch rectangular keyboard keys digital display corresponding figures
  3. 所属分类:CSharp

    • 发布日期:2017-11-11
    • 文件大小:13187
    • 提供者:张小虎
  1. shumaguan

    0下载:
  2. ICCAVRat48数码管显示基于ch164数据锁存器的单个数码管显示0123456789显示小程序-ICCAVRat48 digital display data latch based ch164 single digital display shows the applet 0123456789
  3. 所属分类:SCM

    • 发布日期:2017-11-21
    • 文件大小:21436
    • 提供者:张阿白
  1. lilei127-01

    0下载:
  2. 我是新人,很高兴通过朋友介绍得知这个网站。动态显示的特点是将所有位数码管的段选线并联在一起,由位选线控制是哪一位数码管有效。这样一来,就没有必要每一位数码管配一个锁存器,从而大大地简化了硬件电路。选亮数码管采用动态扫描显示。所谓动态扫描显示即轮流向各位数码管送出字形码和相应的位选,利用发光管的余辉和人眼视觉暂留作用,使人的感觉好像各位数码管同时都在显示。动态显示的亮度比静态显示要差一些,所以在选择限流电阻时应略小于静态显示电路中的。 -I was new, I am glad that t
  3. 所属分类:Other Games

    • 发布日期:2017-12-09
    • 文件大小:2022
    • 提供者:李磊
  1. dsw

    0下载:
  2. P0与J12 用8PIN排线连接, P1与JP16 用排线连接,573锁存器控制和单片机脚直接位选控制(非译码器控制)数码管。-P0 and J12 cable to connect with 8PIN, P1 and JP16 with a ribbon cable, 573 feet latch control and chip select control bits directly (non-decoder control) digital tube.
  3. 所属分类:CSharp

    • 发布日期:2017-11-06
    • 文件大小:1078
    • 提供者:肖康
  1. my_led

    0下载:
  2. NXP LPC1114驱动LED灯,带锁存器功能。-NXP LPC1114 drive LED lights, with latch function.
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-11-19
    • 文件大小:101264
    • 提供者:ma
  1. dele

    0下载:
  2. 问片外存储器时,下降沿用于控制外接的地址锁存器锁存从P0口输出的低8位地址。在没有接外部存储器时,可以将该引脚的输出作为时钟信号使用-Q-chip memory, the falling edge is used to control an external address latch P0 port output latch from the lower 8-bit address. In the absence of access to external memory, you can co
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:28212
    • 提供者:浮路
  1. ok

    0下载:
  2. 问片外存储器时,下降沿用于控制外接的地址锁存器锁存从P0口输出的低8位地址。在没有接外部存储器时,可以将该引脚的输出作为时钟信号使用-Q-chip memory, the falling edge is used to control an external address latch P0 port output latch from the lower 8-bit address. In the absence of access to external memory, you can co
  3. 所属分类:SCM

    • 发布日期:2017-04-24
    • 文件大小:22334
    • 提供者:浮路
  1. fa

    0下载:
  2. 问片外存储器时,下降沿用于控制外接的地址锁存器锁存从P0口输出的低8位地址。在没有接外部存储器时,可以将该引脚的输出作为时钟信号使用-Q-chip memory, the falling edge is used to control an external address latch P0 port output latch from the lower 8-bit address. In the absence of access to external memory, you can co
  3. 所属分类:Other systems

    • 发布日期:2017-04-25
    • 文件大小:25341
    • 提供者:浮路
  1. delta-sigma-DAC

    0下载:
  2. 根据FPGA的∑-Δ D/A转换器的设计与实现策略,∑-Δ DAC的内部仅由2个10位的二进制加法器,1个10位的锁存器和一个D触发器组成,用FPGA实现时只需耗费极少的逻辑资源,即使用最小的FPGA也能实现。这是∑-Δ DAC实现的verilog语言-According to the FPGA Σ-Δ D/A converter design and implementation strategies, Σ-Δ DAC' s internal only by the two 10-bit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1333600
    • 提供者:王凌
  1. software_reset

    0下载:
  2. 单片机通过两个锁存器控制数码管显示,用软件实现复位。-MCU through two latches control the digital display, with software reset.
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:16862
    • 提供者:xuyue
  1. HEX

    0下载:
  2. PROTEL仿真电路程序 573锁存器+单个数码管+定时器测试程序
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-24
    • 文件大小:17468
    • 提供者:zhangjiali
  1. HEX

    0下载:
  2. PROTEL仿真电路程序 573锁存器+单个数码管+定时器
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-02
    • 文件大小:19264
    • 提供者:zhangjiali
  1. latch

    0下载:
  2. FPGA锁存器代码,EPM240上已经验证了,可以借鉴使用,用Verilog语言编写-FPGA latches code, EPM240 has been verified, you can learn to use, with the Verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:117247
    • 提供者:谢凯聪
  1. zwcfq

    0下载:
  2. 带置位和复位端的1 位数据锁存器,源代码verilo实现,在quartusII平台上,大家试试看。-With set and reset terminal a data latch, the source code verilo achieve, in the quartusII platform, we try.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:177787
    • 提供者:廖飞
  1. C

    0下载:
  2. 用573锁存器控制和单片机脚直接位选控制(非译码器控制)数码管上显示数字-Latches with 573 control and direct bit microcontroller pin select control (non-decoder control) digital display digital
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:20890
    • 提供者:邵丽娜
  1. GUANGLIFAN

    0下载:
  2. 4*4*4光立方,直联方式,不需要锁存器,适合初学-4* 4* 4 Light Cube, Direct mode, no latches
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:6211
    • 提供者:李闯
  1. ET6226-DEMO-Board-code

    0下载:
  2. ET6226 是一种带键盘扫描电路接口的LED 驱动控制专用电路。内部集成有MCU 输入输出控制数字 接口、数据锁存器、LED 驱动、键盘扫描、辉度调节等电路。本芯片性能稳定、质量可靠、抗干扰能力强, 可适应于24 小时长期连续工作的应用场合。-A kind of LED driver for STB.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-27
    • 文件大小:4076
    • 提供者:absun
  1. Latch_sram

    0下载:
  2. FPGA内部集成RAM和锁存器模块设计,欢迎大家来验证-FPGA internal RAM and latches integrated module design, welcome to verify
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2964637
    • 提供者:
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 »
搜珍网 www.dssz.com