CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - CPLD

搜索资源列表

  1. FPGA_common_idea

    1下载:
  2. 本文讨论的四种常用FPGA/CPLD 设计思想与技巧:乒乓操作、串并转换、流水线操作、数据接口同步化,都是FPGA/CPLD 逻辑设计的内在规律的体现,合理地采用这些设计思想能在FPGA/CPLD 设计工作种取得事半功倍的效果。-This article discusses the four commonly used FPGA/CPLD design ideas and techniques: ping-pong operation, strings, and conversion, pipe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-09-22
    • 文件大小:114618
    • 提供者:hwei
  1. ADCCONVER

    2下载:
  2. 控制CPLD对AD7656进行采样,环境quartus-use the CPLD to control AD7656
  3. 所属分类:书籍源码

    • 发布日期:2014-01-01
    • 文件大小:270675
    • 提供者:簿智明
  1. 642_cpld

    0下载:
  2. 开发DM642系统很好用的cpld程序,包含字符叠加部分-DM642 system, well developed procedures for using cpld, including part of the OSD
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:86619
    • 提供者:110
  1. EPM7256

    0下载:
  2. CPLD EPM7256原理图PCB图,已经校验,没有什么问题,制版既可。-CPLD EPM7256 Schematic diagram PCB have been checking, there was no problem with either plate.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:49149
    • 提供者:马爽
  1. infrared

    0下载:
  2. 使用verilog语言控制CPLD通过红外收发器进行红外通讯,其中simple.qpf为发送端的工程文件,recive文件夹中的recive.qpf是接收端工程文件-CPLD Verilog language to control the use of infrared transceiver through infrared communication, which simple.qpf for the sending end of the project file, recive folde
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-26
    • 文件大小:239150
    • 提供者:朱志豪
  1. usb_jtag

    0下载:
  2. FPGA、CPLD芯片的usb数据下载线,下载速度是并口的5位,内有原理图用程序-FPGA, CPLD chip usb data download lines, download speed is the parallel port of the five, with a schematic diagram of procedures in
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:235093
    • 提供者:李聚光
  1. 51andcpld

    0下载:
  2. 】本文介绍了一个使用单片机和CPLD联合控制步进电机的方案。首先阐明步进电机的工作原理及控制方法,然后 提出了系统的软硬件设计框架,详细讨论了单片机和CPLD的逻辑接口问题和交换数据的协议,以及用状态机来设计脉冲分配器 的方法。-This paper describes a combined use of SCM and CPLD stepper motor control program. First of all, to clarify the working principle o
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:175314
    • 提供者:zhengwei
  1. cpld_51_IO

    0下载:
  2. ]本文介绍了如何利用CPLD(复杂可编程逻辑器件)与单片机的结合实现并行I/ O(输入/输出)接口的扩展。该设计与用8255做并行I/O接口相比,与单片机软件完全兼容, 同时拥有速度快,功耗低,价格便宜,使用灵活等特点-] This article describes how to use the CPLD (complex programmable logic device) and the single-chip combination to achieve parallel I/O
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:195298
    • 提供者:zhengwei
  1. keyboard

    0下载:
  2. 键盘程序:基于cpld开发环境的4*4键盘程序,很有用哦-Keyboard procedure: CPLD-based development environment 4* 4 keyboard procedures, useful Oh
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-24
    • 文件大小:1355
    • 提供者:xixi
  1. 6713_dsk_vhdl

    0下载:
  2. TI 6713DSK板的CPLD程序 PDF格式-TI 6713DSK board CPLD procedures PDF format
  3. 所属分类:Compiler program

    • 发布日期:2017-04-04
    • 文件大小:31688
    • 提供者:11
  1. cpldbus51

    0下载:
  2. CPLD与8051的总线接口VHDL源码-CPLD with 8051 bus interface VHDL source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:50872
    • 提供者:xjb
  1. motor

    2下载:
  2. ALTEA EPM7128 CPLD的用于控制三维步进电机的VHDL源代码-ALTEA EPM7128 CPLD is used to control the stepper motor of three-dimensional VHDL source code
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2013-10-17
    • 文件大小:2608
    • 提供者:lauking
  1. traffic

    0下载:
  2. 模拟交通灯 verilog CPLD EPM1270 源代码-Simulation of traffic lights verilog CPLDEPM1270 source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:191315
    • 提供者:韩思贤
  1. UART

    1下载:
  2. 串口实验,很好用,我还有verilog HDL VHDL CPLD EPM1270 源代码-Serial experiments, very good, and I still have the source code verilog HDLVHDL CPLDEPM1270
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:339331
    • 提供者:韩思贤
  1. UART

    0下载:
  2. 串口通讯 verilog CPLD EPM1270 源代码-Serial Communication verilog CPLDEPM1270 source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:56349
    • 提供者:韩思贤
  1. an501_design_example

    0下载:
  2. PWM文件 用于CPLD,学习如何用VHDL语言写程序-PWM files for CPLD, learn how to write VHDL language program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:286051
    • 提供者:xiaox
  1. CPLDQQ2812

    0下载:
  2. QQ2812开发板的CPLD源代码,CPLD芯片为ALTERA公司MAX3000A系列芯片-QQ2812 development board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:101457
    • 提供者:田凯文
  1. colorful_signal

    0下载:
  2. 设计并调试好一个VGA彩条信号发生器,并用EDA实验开发系统(拟采用的实验芯片的型号可选Altera的MAX7000系列的 EPM7128 CPLD ,FLEX10K系列的EPF10K10LC84-3 FPGA, ACEX1K系列的 EP1K30 FPGA,Xinlinx 的XC9500系列的XC95108 CPLD,Lattice的ispLSI1000系列的1032E CPLD)进行硬件验证。 设计思路 由系统提供的时钟源引入扫描信号,根据VGA彩色显示器的工作原理,设计出各种颜色编码
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:7770
    • 提供者:lijq
  1. CPLD_Config

    1下载:
  2. 用Altera CPLD做为控制器从Flash上读取image文件对Altera FPGA编程-Altera CPLD used as a controller to read image from the Flash on the Altera FPGA programming
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:3900
    • 提供者:jwq
  1. cpldpcvhdl

    0下载:
  2. cpld与pc机通信的VHDL代码,用于模拟cs232收发功能-CPLD with VHDL communication pc machine code, used to simulate the transceiver function cs232
  3. 所属分类:Com Port

    • 发布日期:2017-04-05
    • 文件大小:7424
    • 提供者:
« 1 2 3 4 5 67 8 9 10 11 ... 50 »
搜珍网 www.dssz.com