CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - DPLL

搜索资源列表

  1. NewWayOfDPLLdesign

    0下载:
  2. 使用VHDL语言进行设计DPLL(数字锁相环)的相关文件-The use of VHDL language design DPLL (digital phase-locked loop) of the relevant documents
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:223350
    • 提供者:国家
  1. pll

    0下载:
  2. 一个实现任意倍频的,输入参考频率未知的pll,已综合实现-frequency multiple rely on dpll,unknown reference input clock
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-01
    • 文件大小:3886
    • 提供者:刘彻
  1. dfefe.doc

    0下载:
  2. 该高频正弦信号发生器基于直接数字频率合成(DDS)和数字锁相环技术(DPLL),以微控制器(MCU)和现场可编程逻辑门阵列(FPGA)为核心,辅以必要的外围电路设计而成。系统主要由正弦信号发生、红外遥控、高速模数(A/D)-数模(D/A)转换、信号调制和后级处理等模块组成。-The high-frequency sinusoidal signal generator based on Direct Digital Synthesis (DDS) and digital PLL (DPLL), a
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:244018
    • 提供者:henry
  1. xapp854

    0下载:
  2.  Digital Phase-Locked Loop (DPLL) Reference Design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:574110
    • 提供者:malijun
  1. vhdl3

    0下载:
  2. 介绍一种基于VHDL 语言的全数字锁相环实现方法, 并用这种方法在FPGA 中实现了全 数字锁相环,作为信号解调的位同步模块。-Introduction of a language based on VHDL implementations of DPLL, and this method is implemented in the FPGA digital phase locked loop, as the signal demodulation of bit synchronizatio
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:230401
    • 提供者:枫蓝
  1. dpll_ieee

    0下载:
  2. implementation of dpll.a technique used by ieee.
  3. 所属分类:matlab

    • 发布日期:2017-04-23
    • 文件大小:248967
    • 提供者:bhargav
  1. mydesign_DPLL

    0下载:
  2. 实现了数字锁相环设计,可以用于信号的时钟提取供本地时钟使用-the design introduced a method to use DPLL,we can get the local clock from the signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:930667
    • 提供者:123456
  1. DPLL

    0下载:
  2. 模数转换的数字锁相环,代码中有详细的说明-digital phase lock loop
  3. 所属分类:matlab

    • 发布日期:2017-04-13
    • 文件大小:1799
    • 提供者:
  1. Untitled8

    0下载:
  2. source code and matlab code for second order dpll in digital signal processor
  3. 所属分类:Communication

    • 发布日期:2017-04-03
    • 文件大小:949
    • 提供者:nithi
  1. bit-sychronization

    0下载:
  2. 全数字锁相环实现位同步,通过3个触发器实现码元的边沿提取。基带码采用M序列仿真。-DPLL to achieve bit synchronization, achieved through three trigger symbol of the edge extraction. Baseband codes using M-sequence simulation.
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-08
    • 文件大小:569307
    • 提供者:林竹
  1. digital_pll_cicc_tutorial_perrott

    0下载:
  2. Very good dpll tutorial.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:3821337
    • 提供者:seek
  1. VHDL-FPGA-DLL

    0下载:
  2. 自动检测中英文中译英英译中百度翻译 翻译结果(中 > 英)复制结果 A VHDL language based on all digital phase-locked loop DPLL VHDL realization-自动检测中英文中译英英译中百度翻译 翻译结果(中 > 英)复制结果 A VHDL language based on all digital phase-locked loop DPLL VHDL realization
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-26
    • 文件大小:230400
    • 提供者:ldd
  1. VHDL-FPGA-ALL-digital-DDLL

    0下载:
  2. VHDL 全数字锁相环 ise7.1i环境实现 内有代码 和时域仿真结果-A VHDL language based on all digital phase-locked loop DPLL VHDL realization
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:230344
    • 提供者:ldd
  1. DPLL

    1下载:
  2. 二阶锁相环仿真,输入频偏为阶跃信号时的仿真-pll simulation
  3. 所属分类:matlab

    • 发布日期:2017-04-10
    • 文件大小:847
    • 提供者:李宁
  1. APDLL

    0下载:
  2. 数字锁相环的FPGA设计与实现,用maxplus2实现的-DPLL FPGA design and implementation, with maxplus2 achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1236290
    • 提供者:yinuo
  1. PhasePLockedPLoop

    0下载:
  2. pll的封装模块主要有cppll,dpll,linearpll,powerpll.-Encapsulation of a PLL module,include:cppll,dpll,linearpll,powerpll and so on.
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:661764
    • 提供者:zhang
  1. FdplllzipP

    0下载:
  2. FPGA实现全数字锁相环,运用硬件描述评议议verilog HDL,顶层文件DPLL.V -FPGA implementation of DPLL, the use of hardware descr iption council meeting Verilog HDL top-level file DPLL is. V
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:4627
    • 提供者:陪同
  1. sfdppllli

    0下载:
  2. 简单易懂的可配置dpll的VHDL代码。用于时钟恢复后的相位抖动的的滤波有非常好的效果, 而且能参数化配置pll的级数。 已通过测试。 -Straightforward configuration VHDL code dpll. Very good results for the clock recovery phase jitter filtering, and can be parameterized configuration pll series. Has been tested.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1831
    • 提供者:房产
  1. 759744

    0下载:
  2. dpll源代码,实现基本功能,具体BUG需自己修改-dpll unit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:125201
    • 提供者:taoyuan
  1. dpll

    0下载:
  2. Simulink all digital p-Simulink all digital plll
  3. 所属分类:matlab

    • 发布日期:2017-12-01
    • 文件大小:10970
    • 提供者:falamash
« 1 2 3 45 6 »
搜珍网 www.dssz.com