CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - NCO

搜索资源列表

  1. waveform_gen_latest.tar

    0下载:
  2. VHDL实现NCO与LUT(查找表) VHDL实现NCO与LUT(查找表)-VHDL realization of NCO and LUT (lookup table) VHDL Implementation NCO and LUT (lookup table)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:570202
    • 提供者:张颖
  1. cordic

    0下载:
  2. FPGA中数字信号发生器NCO用CORDIC实现产生正弦余弦-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1805
    • 提供者:lilun
  1. dac7513_DDS_7822

    0下载:
  2. 基于VHDL的NCO利用DAC7513产生sin或cos 的代码-DAC7513 VHDL NCO
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5167113
    • 提供者:李才
  1. New-folder

    0下载:
  2. VHDL codes for booth , nco and some more
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1918
    • 提供者:Ashwin
  1. DDC.m

    0下载:
  2. 一個有關於數值控制震盪器(NCO)的檔案,用Matlab的Simulink開啟即可-.-a shaker on the numerical control (NCO) files, Matlab Simulink can be opened.
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-03
    • 文件大小:1552
    • 提供者:hcc
  1. nco_dds_ep_1_003_1

    0下载:
  2. gives descr iption about nco and dds
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:713448
    • 提供者:challu
  1. Numberical-Controlled-Oscillator

    0下载:
  2. 数控振荡器的设计,实验中用到的所有完整的工程文件在test8文件夹下。完整的工程文件包含: accumulator_precision.mdl frequency_resolution.mdl generating_a_ramp.mdl lutdepth_cost_a.mdl lutdepth_cost_b.mdl lutdepth_cost_c.mdl sine_wave.mdl sine_wave_iir.mdl sine_wave_ii
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:107054
    • 提供者:
  1. nco

    0下载:
  2. 基于FPGA的压控震荡器,可以通过震荡器来对输入信号进行有效的分频,而且是任意的分频系数都可以-FPGA-based VCO oscillator input signal, the effective frequency division and any sub-frequency coefficients can be
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3244957
    • 提供者:zhou
  1. DDS

    2下载:
  2. NCO 数字压控振荡器的MATLAB仿真程序 程序包含2中模拟NCO的方法 其中第二种方法使用CORDIC算法产生 基准频率信号-The NCO digital voltage-controlled oscillator, the MATLAB simulation program program contains 2 to simulate the NCO in which the second method uses the CORDIC algorithm to generat
  3. 所属分类:matlab

    • 发布日期:2014-11-04
    • 文件大小:2048
    • 提供者:zx
  1. DE2_NIOS_II_IRQ_BUTTON_2012

    0下载:
  2. 基于按键中断控制NCO核的输出频率,在quartus II中仿真通过-Based on the key interrupt control the output frequency of the NCO core, through simulation in quartus II
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-09
    • 文件大小:16808425
    • 提供者:李刚
  1. CarrierNco

    1下载:
  2. ISE12.3环境下编写的载波NCO的产生程序,进行扩频通信或者卫星导航研究的同学可以参考-ISE12.3 environment prepared by the carrier NCO generator, spread spectrum communication or satellite navigation research students can refer
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-06
    • 文件大小:81920
    • 提供者:枫桥夜泊
  1. Code_NCO.zip

    0下载:
  2. 码数控振荡器相位累加器的位数N为32,利用verilog HDL语言在Quartus II 9.1中具体实现了载波和码NCO的设计。,The code numerically controlled oscillator phase accumulator bits N 32 verilog HDL language in the concrete realization of the design of the carrier and code NCO Quartus II 9.1.
  3. 所属分类:GPS develop

    • 发布日期:2017-11-09
    • 文件大小:881
    • 提供者:cc
  1. DDC_FPGA

    2下载:
  2. 基于FPGA的数字下变频器(DDC)的设计,将采样得到的高速率信号变成低速率基带信号,以便进行下一步的信号处理。由NCO、数字混频器、低通滤波器和抽取滤波器四个模块组成。采用自编的加法树乘法器,提高乘法运算效率。-Design based on FPGA digital downconverter (DDC), the high-speed signal will be sampled baseband signal into a low rate for the next step in th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:52476
    • 提供者:shengxx
  1. NCO_Test

    0下载:
  2. 代码是对通信中的NCO模块的仿真,基于QUARTUSII9.0软件,代码编译成功,并且功能仿真已经实现-Code NCO module communication the simulation, based QUARTUSII9.0 software, code compiled successfully, and the functional simulation has been achieved
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:1518461
    • 提供者:二妮子
  1. Gps_c_code_nco

    0下载:
  2. 在GPS接收机本地NCO及CA码产生,生成超前码,即时码和滞后码。-generate NCO and ca coce in gps receiver,generate E_P_L code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:1516
    • 提供者:peng
  1. cordic1

    0下载:
  2. cordic硬件实现,主要是实现正余弦,也可以用作NCO混频中,实现解调功能-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:3810
    • 提供者:zhangyang
  1. NCO

    0下载:
  2. 指输出频率与输入控制电压有对应关系的振荡电路(VCO),频率是输入信号电压的函数的振荡器VCO,振荡器的工作状态或振荡回路的元件参数受输入控制电压的控制,就可构成一个压控振荡器。-Refers to the output frequency and input voltage control oscillation (VCO) circuits, corresponding relationship with frequency is a function of the input signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:625
    • 提供者:HQ
  1. VHDL_Code

    0下载:
  2. 描写nco的完整程序,采用很简介的算法,对大家应该很有用-The complete program descr iption nco, using a very brief introduction of the algorithm, we should be very useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:548370
    • 提供者:王宇
  1. FPGA_trainning2013A

    0下载:
  2. 在EDA实验课上面,自己编写的NCO程序,可以产生出比较真实的正弦波、三角波以及锯齿波,用VHDL程序编写,有modelsim仿真textbench程序-On EDA experiment, oneself write the NCO program, can produce more real sine wave, triangular wave and sawtooth wave with VHDL programming, have the modelsim simulation text
  3. 所属分类:DSP program

    • 发布日期:2017-04-06
    • 文件大小:403650
    • 提供者:刘far
  1. ca_code

    0下载:
  2. nco的产生原理的相关代码;软件无线电、直接数据频 率合成器(DDS,Direct digital synthesizer)、快速傅立叶变换(FFT,Fast Fourier Transform) 等的重要组成部分,同时也是决定其性能的主要因素之一,用于产生可控的正弦波或余弦波。随着芯片集成度的提高、在信号 处理、数字通信领域、调制解调、变频调速、制导控制、电力电子等方面得到越来越广泛的应用-nco the generation principle of the relevant code s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:711
    • 提供者:李毅
« 1 2 3 45 6 »
搜珍网 www.dssz.com