CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - UVM

搜索资源列表

  1. uvm

    0下载:
  2. the Universal Verification Methodology (UVM) for creating SystemVerilog testbenches.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:7105046
    • 提供者:hugo
  1. uvm-1.1d.tar

    1下载:
  2. UVM World 官方发布的UVM(通用验证方法学)的源代码,基于SystemVerilog,用于ASIC Verification。2013-03最新发布版本uvm-1.1d.tar.gz-The UVM World official release of the source code of the UVM (Universal Verification Methodology), based on SystemVerilog for ASIC Verification. 2013-03
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-09
    • 文件大小:3214600
    • 提供者:吴杉
  1. uvm

    0下载:
  2. UVM验证平台的介绍,在验证方面效率由于systemverilog。-UVM verification platform introduced in verification efficiency due systemverilog.
  3. 所属分类:DSP program

    • 发布日期:2017-05-06
    • 文件大小:1098121
    • 提供者:孙鹏
  1. uvm-1.1c.tar

    0下载:
  2. UVM test bench source code for verilog development
  3. 所属分类:Other systems

    • 发布日期:2017-05-12
    • 文件大小:2777758
    • 提供者:murali
  1. uvm-1.1d

    0下载:
  2. uvm 源代码开发,基于此可以实现芯片验证加速和验证充分保证-uvm system verilog based code
  3. 所属分类:Other systems

    • 发布日期:2017-05-14
    • 文件大小:3697099
    • 提供者:李辉
  1. uvm-1.2.tar

    0下载:
  2. UVM 1.2 golden code, -code for UVM,
  3. 所属分类:Other systems

    • 发布日期:2017-05-11
    • 文件大小:2463986
    • 提供者:陈炳军
  1. UVM

    1下载:
  2. uvm验证方法学入门。step by step,适合IC验证人员入门-uvm verification methodology started. step by step, for IC verification personnel entry
  3. 所属分类:Other systems

    • 发布日期:2017-05-26
    • 文件大小:9593509
    • 提供者:luojun
  1. UVM张强 书上例子代码

    1下载:
  2. 张强UVM实战书上例子的所有源代码,学习UVM的好资料!!!
  3. 所属分类:书籍源码

    • 发布日期:2016-11-15
    • 文件大小:282333
    • 提供者:chuxiayijiu
  1. uvm-1.1

    1下载:
  2. 学习IC验证的好资料,包括UVM-1.1a和UVM-1.1d的全部工程example,适合IC验证基于UVM平台的初学者。-Learn good about IC verification, including all engineering of UVM-1.1a and UVM-1.1d, for beginners based on the UVM platform for IC verification.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-12
    • 文件大小:7225850
    • 提供者:李菲
  1. uvm-crc-test

    1下载:
  2. UVM简单例程,DUT为Verilog小程序。(UVM simple routine, DUT is Verilog applet.)
  3. 所属分类:其他

    • 发布日期:2017-12-17
    • 文件大小:5120
    • 提供者:wenxulyu
  1. eetop.cn_UVM

    1下载:
  2. UVM 的 入门实例,一个完整的能够跑通的实例。其中包括DUT代码,Testbench代码,(UVM entry example, a complete example of running through. These include the DUT code, the Testbench code,)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:3037184
    • 提供者:西麦
  1. verify

    1下载:
  2. 一个复杂的uvm verification例子(a complicate uvm verification example)
  3. 所属分类:其他

    • 发布日期:2017-12-27
    • 文件大小:8147968
    • 提供者:saytoall
  1. THE_UVM_PRIMER_CODE_EXAMPLES.tar

    0下载:
  2. The exmaples for the ebook The UVM Primer An Introduction to the Universal Verification Methodology by Ray Salemi The UVM Primer is the book to read when you've decided to learn the UVM. The book assumes that you have a basic knowledge of SystemVeri
  3. 所属分类:其他

    • 发布日期:2017-12-26
    • 文件大小:87040
    • 提供者:ajianer
  1. UVM_GetStart

    0下载:
  2. From OVM to UVM UVM is based on OVM, so from the outset it should be very straightforward to interoperate between OVM and UVM or to convert old OVM code to UVM code. We thought we would test this out by converting our existing online tutorial Getti
  3. 所属分类:其他

    • 发布日期:2017-12-26
    • 文件大小:458752
    • 提供者:ajianer
  1. I2C Verification environment

    2下载:
  2. UVM verification for I2C
  3. 所属分类:其他

    • 发布日期:2017-12-27
    • 文件大小:178176
    • 提供者:hope_tony
  1. mycode

    0下载:
  2. 这是open silicon interlaken user interface的一个driver,采用的是uvm的架构,能够实现single/dual/quad segment的配置(This is a open silicon Interlaken user interface driver, using the UVM architecture, to achieve the configuration of single/dual/quad segment)
  3. 所属分类:其他

    • 发布日期:2018-01-02
    • 文件大小:6188032
    • 提供者:东哥
  1. uvm-cookbook-complete-verification-academy

    0下载:
  2. UVM cookbook from mentors
  3. 所属分类:其他

    • 发布日期:2018-04-30
    • 文件大小:5153792
    • 提供者:DUBABBA
  1. UVM示例平台

    1下载:
  2. 一个UVM完整组件的测试平台,以一个简单的路由器作为例子进行UVM测试(A test platform for UVM complete components, taking a simple router as an example for UVM testing.)
  3. 所属分类:其他

    • 发布日期:2020-11-13
    • 文件大小:114688
    • 提供者:donnyli
  1. uvm实战源码

    6下载:
  2. uvm实战教程源码,丰富的uvm demo testbench,可以学习uvm各个阶段的testbench搭建技巧,能学习到大量的uvm testbench搭建技能,比如factory和寄存器模型等重要机制,非常值得学习
  3. 所属分类:微处理器(ARM/PowerPC等)

  1. UVM验证平台搭建

    1下载:
  2. 搭建uvm验证平台,通用验证平台结构和搭建流程介绍(How to build a common UVM verification platform?An easy and useful method is instroduced here.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-09-20
    • 文件大小:155648
    • 提供者:cicighy
« 12 3 »
搜珍网 www.dssz.com