CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - VHDL UART

搜索资源列表

  1. uart from opencores

    0下载:
  2. 用VHDL实现串口 可以实现与pc机的通信 收发 中断都可以 效果比较好-VHDL implement serial port, it can communicate with pc, it can accept and send message, and it can be interrupted.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:9254
    • 提供者:熊明
  1. vhdl-2

    0下载:
  2. UART 的VHDL源代码。可在ISE, Max-Plus II,等开发环境下实现。-UART VHDL source code. The ISE, Max-Plus II, and other development environments under.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:59976
    • 提供者:lileiming
  1. uart-verilog-vhdl

    0下载:
  2. 拿verilog和vhdl编写的串口通信代码(可综合)-with vhdl and verilog prepared by the serial communication code (synthesis)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:295414
    • 提供者:刘索山
  1. VHDL_UART

    0下载:
  2. VHDL语言的UART串行接口芯片程序,仅供学习使用-VHDL UART serial interface chip procedure is for learning
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4263
    • 提供者:MINGER
  1. UART

    1下载:
  2. 自己用VHDL写的一个串口程序,调试成功,并且用到了项目中,希望初学者可以借鉴下
  3. 所属分类:Linux/Unix编程

    • 发布日期:2008-10-13
    • 文件大小:306127
    • 提供者:yanglei
  1. uart

    0下载:
  2. vhdl书写uart代码,经验证功能非常的全.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:405699
    • 提供者:zjc
  1. uart(serial)-200792511240998

    0下载:
  2. 基于vhdl 的串行接口 具有完整的程序
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:265662
    • 提供者:weixing
  1. A-Simplified-VHDL-UART

    0下载:
  2. In embedded systems, the processor that we choose for our design may not come with built-in peripherals. Therefore, designers will have to implement these devices in hardware keeping in mind that they will need to interface to the processor. In this
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:375158
    • 提供者:mezzich
  1. uart1

    0下载:
  2. vhdl uart module. this file is used to transfer programs frm fpga xilinx spartam 3e kit to desktop pc through rs232 serial port.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:282747
    • 提供者:pingakshya
  1. uart

    0下载:
  2. 基于VHDL语言的fpga uart 口通讯的源程序,经验证可用,开发环境Quartus -VHDL UART QUARTUS II
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3824
    • 提供者:谢家
  1. UART

    0下载:
  2. uart通用异步收发器,包括收发模块和。数据产生模块-uart transmit and reciver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:985946
    • 提供者:涂强
  1. VHDL-uart

    0下载:
  2. 本程序应用VHDL语言,详细描述了RS232串口协议,包括发送,接收,波特率的产生,模块化编程,对于初学者尤为有宜!-The program in VHDL language, the detailed descr iption of the RS232 serial protocol, including sending, receiving, and baud rate generation, modular programming, especially for beginners sho
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:13046
    • 提供者:wangsheng
  1. uart

    0下载:
  2. UART 串口收发程序 VHDL UART 串口收发程序 VHDL-UART serial port transceiver procedures VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-08
    • 文件大小:1565
    • 提供者:蒋坤
  1. cpld-urat-vhdl

    0下载:
  2. 基于CPLD的VHDL UART代码,串行异步通信,含代码及仿真图-Based on the CPLD VHDL UART code, serial asynchronous communication, including code and simulation diagram
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:328457
    • 提供者:瞿鑫
  1. UART

    1下载:
  2. 自己总结的UART的设计及分析,已在实际工程中应用到,并且带有源代码和仿真代码,总结的文档,非常有用。(My summary of the design and analysis of UART, has been applied in practical engineering, and with source code and simulation code, summary of the document, very useful.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:232448
    • 提供者:何河
  1. uart

    0下载:
  2. VHDL CODE FOR UART IN DEEP MODIFIED
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-23
    • 文件大小:290816
    • 提供者:ranveer
  1. 基于VHDL的UART控制器设计

    0下载:
  2. UART模块的VHDL语言设计(Design of VHDL language based on UART module)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-04
    • 文件大小:1039360
    • 提供者:周杰---123
  1. uart_txd

    0下载:
  2. 用VHDL实现的串口数据发送模块。使用的软件为ISE和modelsim。(Serial data transmission module implemented with VHDL.The software used is ISE and modelsim.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:196608
    • 提供者:xdytf
  1. teacher_uart

    1下载:
  2. 由verilog编写的uart收发模块,能够在串口助手发送字符,并在数码管上显示,开发板为basys3 内置约束文件(The UART transceiver module written by Verilog can send characters to serial assistant and display them on the digital tube. the development board is built-in constraint file of basys3)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-03-27
    • 文件大小:1925120
    • 提供者:abc1997
  1. 串口电压表VHDL

    1下载:
  2. 使用 AD 转换器 TLV1570,将 0-2.5V 的电压转换成 10 位二进制结果,再将 10 位二进制结果转换成 4 位 BCD 码 (整数部分 1 位,小数部分 3 位),并通过 UART 串口将数据送上位机 (电脑)进制显示(Serial port voltmeter)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-12-16
    • 文件大小:4210688
    • 提供者:LB明
« 1 2 3 4 56 7 8 9 10 ... 22 »
搜珍网 www.dssz.com