CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - ieee

搜索资源列表

  1. IEEE 802.11 模型的源码

    1下载:
  2. 基于IEEE 802.11的MATLAB源码,里面有详细的仿真和指导
  3. 所属分类:源码下载

  1. IEEE

    4下载:
  2. 关于IEEE-6、14 30节点系统很实用的,经过运行可靠MATLAB程序上的潮流计算-power flower
  3. 所属分类:matlab

    • 发布日期:2016-12-12
    • 文件大小:8192
    • 提供者:liuhuan
  1. IEEE

    0下载:
  2. IEEE检索的一些数字水印方面的文章,包括图像视频音频水印,涉及算法、协议等重要方面。-IEEE retrieval of some aspects of digital watermarking article, including images video audio watermarking, involving algorithms, protocol and other important aspects.
  3. 所属分类:Special Effects

    • 发布日期:2017-05-28
    • 文件大小:11388948
    • 提供者:王奔
  1. ieee

    0下载:
  2. 国外几篇IEEE数字水印论文.rar 希望你们能喜欢,着很不错啊-IEEE Digital Watermarking several foreign papers. Rar hope you will like it, a very错啊
  3. 所属分类:Special Effects

    • 发布日期:2017-05-11
    • 文件大小:2706856
    • 提供者:肖文
  1. IEEE.Standard.Verilog.Hardware.Description.Languag

    0下载:
  2. IEEE Standard Verilog Hardware Descr iption Language-IEEE Standard Verilog Hardware Descr iption Language(
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2177879
    • 提供者:liukai
  1. IEEE-paper-format-summary

    0下载:
  2. 该文档详细说明了IEEE论文格式的各种要求,说明部分为中文,结尾富有IEEE英文范文一篇。-This document detail the IEEE paper format, explains the various requirements of the part to be Chinese, ending rich IEEE English essays an article
  3. 所属分类:Other systems

    • 发布日期:2016-01-27
    • 文件大小:459776
    • 提供者:xiaozhu
  1. ieee-802.15.4a-channel-final-report

    0下载:
  2. IEEE 802.15.4a信道模型最权威的文档,包含实现该模型的matlab代码-IEEE 802.15.4a channel model,most authoritative documents, including matlab code
  3. 所属分类:Other systems

    • 发布日期:2017-03-22
    • 文件大小:513970
    • 提供者:王准
  1. IEEE-802.11P-package

    0下载:
  2. IEEE 802.11p package for ns2.31
  3. 所属分类:Linux Network

    • 发布日期:2017-03-24
    • 文件大小:24951
    • 提供者:chadi
  1. IEEE

    1下载:
  2. ieee 标准测试系统原始数据--14 30 57 118节点-ieee standard test system, the raw data 14 30 57 118
  3. 所属分类:Other systems

    • 发布日期:2017-05-10
    • 文件大小:2229138
    • 提供者:胡坤
  1. IEEE-802.16e

    4下载:
  2. IEEE 802.16e标准中LDPC的编码代码 快速编码 BP译码-the code about LDPC in the standard of IEEE 802.16e fasting code BP decode
  3. 所属分类:Communication-Mobile

    • 发布日期:2016-08-15
    • 文件大小:4096
    • 提供者:ningmengcha
  1. IEEE各节点数据

    2下载:
  2. 收集了3节点到300节点的IEEE标准数据,用于潮流计算
  3. 所属分类:数据库系统

  1. 第一篇论文模板(IEEE模板)

    3下载:
  2. 这里面的内容是期刊ieee的latex模板,需要它的人可以下载。(The content inside is the latex template of the journal ieee, which people who need it can download.)
  3. 所属分类:其他

    • 发布日期:2017-12-18
    • 文件大小:152576
    • 提供者:北风吹111
  1. IEEE-5-30-39 bus system

    1下载:
  2. IEEE-5-30-39节点潮流计算程序,有正确的结果!(IEEE-5-30-39 node power flow calculation program, the correct results)
  3. 所属分类:仿真建模

    • 发布日期:2017-12-30
    • 文件大小:5120
    • 提供者:考研之路
  1. Standard IEEE-14 node test system data

    0下载:
  2. 电力系统潮流计算中可用到的标准IEEE-14节点测试系统数据!(The standard IEEE-14 node can be used to test the system data in power flow calculation!)
  3. 所属分类:其他

    • 发布日期:2017-12-27
    • 文件大小:155648
    • 提供者:考研之路
  1. IEEE 30 bus system data

    0下载:
  2. IEEE 30 BUS SYSTEM Comparisons between stochastic and deterministic Unit Commitment solutions are provided. The generation of Unit Commitment solution is guaranteed by DEEPSO, which is a hybrid DE-EA-PSO algorithm, where DE stands for Differential
  3. 所属分类:matlab例程

    • 发布日期:2018-01-08
    • 文件大小:133120
    • 提供者:therealneel7
  1. IBM-IEEE

    0下载:
  2. C++部分代码,功能为IBM格式浮点小数与IEEE格式浮点小数之间的相互转换(C++ part of the code, the function of the IBM format floating-point decimal and IEEE format interconversion between the decimal)
  3. 所属分类:Windows编程

    • 发布日期:2018-04-28
    • 文件大小:1024
    • 提供者:开心泡泡鱼
  1. 含汽轮机&水轮机的IEEE 3机9节点仿真系统_PSCAD

    6下载:
  2. IEEE标准3机9节点模型,可用于暂态分析;包含典型汽轮机和水轮机驱动的同步机。(The 3 source and 9 nodes model of IEEE , which can be used for transient analysis; Including synchronous machine driven by typical water turbine or steam turbine.)
  3. 所属分类:其他

    • 发布日期:2018-04-22
    • 文件大小:6144
    • 提供者:满天数星斗
  1. A-LaTeX-Demo-for-IEEE-master

    2下载:
  2. IEEE的LaTeX模板,初学即可使用。对于第一次使用LaTeX的人可以很方便的使用,内附有pdf和模板。(IEEE's LaTeX template, first learner can use)
  3. 所属分类:其他

    • 发布日期:2018-04-28
    • 文件大小:386048
    • 提供者:remedyxd
  1. IEEE 118 Bus_modified

    0下载:
  2. IEEE 118 Bus System - DIgSILENT
  3. 所属分类:其他

    • 发布日期:2018-04-23
    • 文件大小:1185792
    • 提供者:erikm0895
  1. [IEEE]SystemVerilog.std.1800-2012.pdf

    0下载:
  2. [IEEE]SystemVerilog.std.1800-2012
  3. 所属分类:其他

    • 发布日期:2018-04-23
    • 文件大小:6410240
    • 提供者:see01995
« 12 3 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com