CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - lcd fpga

搜索资源列表

  1. LCD

    0下载:
  2. 利用FPGA和硬件描述语言来控制字符型液晶显示器的读写-The use of FPGA and hardware descr iption language to control the read and write character LCD display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2669146
    • 提供者:qinkui
  1. LCD_test

    0下载:
  2. 基于SOPC的LCD编码,包括程序源码与测试码还有顶层文件码-sopc-based LCDcode,include lcdcontrul and lcdtest and lcdtop and lcddeplay
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:3906
    • 提供者:马旭霞
  1. FPGALCD

    0下载:
  2. FPGA控制LCD128*64程序,时序已仿真引脚锁定,并在硬件能够上实现汉字显示。-FPGA control LCD128* 64 procedures have been timing simulation, and hardware to achieve display of Chinese characters.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-10
    • 文件大小:1242145
    • 提供者:李恺君
  1. lcd

    0下载:
  2. 键盘控制LCD显示电流与电压,并可以与FPGA进行通信-Keyboard to control current and voltage LCD display and can communicate with the FPGA
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-04
    • 文件大小:2090
    • 提供者:王亮
  1. lcd

    0下载:
  2. 基于fpga的lcd显示模块vhdl描述,只需修改相关参数即可使用-Fpga based on the lcd display module vhdl descr iption, simply modify the relevant parameters to use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1159
    • 提供者:郭帅
  1. LCD

    0下载:
  2. FPGAC串口数据接收 lcd液晶显示程序-FPGA lcd
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3113106
    • 提供者:lishuang
  1. FPGALcd1602

    0下载:
  2. lcd1602 fpga 驱动 液晶1602的FPGA 驱动,VHDL编写-lcd 1602 fpga driver
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:3216
    • 提供者:zrc
  1. lcd_driver

    0下载:
  2. 用FPGA控制12864液晶输出时钟信息 很好 可以根据自己的需要更改 -12864 LCD control with FPGA clock output information can be very good according to their need to change the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:406869
    • 提供者:刘军鹏
  1. Ctl_LCD

    0下载:
  2. FPGA控制LCD代码,实测可用,仅供参考,如需转载请说明-FPGA control LCD code, measurement can be used for reference purposes only and for reprint please indicate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:595779
    • 提供者:Sem
  1. UART_LCD_DAC

    1下载:
  2. 利用RS232,FPGA和单片机通信,控制FPGA的LCD和da转化,产生模拟信号。FPGA部分-Using RS232, FPGA and single-chip communications, the control FPGA and the LCD into da generate analog signals. FPGA part
  3. 所属分类:VHDL编程

    • 发布日期:2014-02-23
    • 文件大小:926161
    • 提供者:雍振强
  1. LCD128FPGA

    0下载:
  2. 用FPGA来控制SMG12864液晶,用并口来控制.FPGA采用EPC144cycloneII,采用ST7920控制器的LCD128*64.-Using FPGA to control SMG12864 LCD with parallel port to control. FPGA using EPC144cycloneII, using the ST7920 controller LCD128* 64.
  3. 所属分类:Other systems

    • 发布日期:2017-05-18
    • 文件大小:4876037
    • 提供者:李恺君
  1. 20090903FPGA

    0下载:
  2. 传统的波形发生器采用模拟技术的方法,这种方法构成的波形发生器电路结构复杂,仅能产生正弦波、方波、锯齿波和三角波等几种简单波形。而现在在高科技领域,我们需要的可能是一些任意波形,如在保密雷达发波等军事方面和地震波形、汽车碰撞波形等模拟仿真应用方面。任意波形发生器现在被广泛用于自动控制系统、振动激励、仪器仪表领域。我国目前在这方面还比较落后,特别是在用DDS技术实现任意波形发生器方面。本课题我们打算用DDS技术基于FPGA核心板设计一个任意波形发生器。该仪器我们用LabVIEW来写的控制面板实现与F
  3. 所属分类:SCM

    • 发布日期:2017-05-02
    • 文件大小:532439
    • 提供者:zhangying
  1. xianshi_lcd_0

    0下载:
  2. 实现了lcd1602显示的功能,可以在lcd上显示“年”字,有利于初学者学习lcd在fpga上显示,采用文本编辑的,利用quartus ii 702-Achieved lcd1602 display function, you can lcd display " " The word will help beginners learn lcd display in the fpga, using a text editor, using quartus ii 702
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:191245
    • 提供者:ad
  1. VHDL

    0下载:
  2. Program VHDL is scan keypad matrix 3*3 display to LCD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:659949
    • 提供者:pokamon
  1. lcd

    0下载:
  2. 使用FPGA控制LCD1602,采用VHDL语言描述-Using the FPGA control LCD1602, use of VHDL language to describe the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1595
    • 提供者:任云杰
  1. lab3

    0下载:
  2. VHDL code for using LCD in an fpga project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:46240
    • 提供者:Sara
  1. vga-connector_files

    0下载:
  2. vhdl code for using lcd in an fpga project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:229356
    • 提供者:Sara
  1. s3esk_startup

    0下载:
  2. 利用kcpsm3控制lcd显示 平台:ise 10.1, picoblaze, Spartan3e 开发板 说明:综合按键和lcd、led的功能,思想简单,需要新技术,适合想在fpga方面深造的人。-using kcpsm3 for lcd display platform: ise 10.1, picoblaze, Spartan-3E FPGA Starter Kit Board comment: involve lcd/led/switch, simple mind bu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1106582
    • 提供者:kn
  1. 1602_LCD_driver_for_ATmega162

    0下载:
  2. LCD driver for ATmega 162.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-17
    • 文件大小:253757
    • 提供者:randok
  1. tmt070_16bpp

    0下载:
  2. 基于MINI2440开发析的TFT LCD 驱动程序。可驱动800*480的TFT-MINI2440 developed based on analysis of the TFT LCD driver. To drive 800* 480 TFT
  3. 所属分类:Graph program

    • 发布日期:2017-03-30
    • 文件大小:477929
    • 提供者:在路上
« 1 2 3 4 56 7 8 9 10 ... 24 »
搜珍网 www.dssz.com