CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - quartus2

搜索资源列表

  1. bahe

    0下载:
  2. quartus2 实现的15灯的电子拔河游戏-quartus2 achieve 15 lamp electronic tug of war game
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-17
    • 文件大小:380430
    • 提供者:sx
  1. jiaotongdeng

    0下载:
  2. Quartus2环境下基于VHDL状态机的交通灯程序-VHDL state machine traffic lights based on Quartus2 environment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:667956
    • 提供者:祁红学
  1. WDTRun_test

    0下载:
  2. Altera公司的NIOS2SOPC平台上的看门狗试验程序。Quartus2软件版本5.0NIOS2IDE软件版本5.0硬件平台根据软件需求在Quartus2软件中构建-Altera Corporation NIOS2SOPC platform testing procedures watchdog. Quartus2 software version 5.0NIOS2IDE software version 5.0 hardware platform software requirements
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-13
    • 文件大小:2051
    • 提供者:marketf
  1. quartusiihandlebook

    0下载:
  2. quartus2硬件指导书,非常好的资料,很适合初学者参考-quartus2 hardware guide books, very good information, it is suitable for beginners reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1753226
    • 提供者:xiong
  1. tanchishe-QuartusII

    1下载:
  2. VGA显示FPGA实现的VHDL语言的贪吃蛇游戏设计 本设计分为6个模块主要是扫描模块 VGA现实和控制模块 游戏设计的模块 电源模块等 用QUARTUS2仿真运行-VGA display FPGA VHDL language to realize the Snake game design The design is divided into six modules mainly scanning module VGA module power module and contro
  3. 所属分类:Shot Game

    • 发布日期:2017-05-10
    • 文件大小:2499220
    • 提供者:懒猫
  1. alarm

    0下载:
  2. 基于Quartus2的数字钟实现 能实现准备走时和报点-alarm based on Quartus2
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:21684
    • 提供者:夏小七
  1. migongtest

    0下载:
  2. 用quartus2实现一个迷宫游戏。界面在8×8点阵上进行。程序开始后 倒计时5秒进入迷宫地图。选择上下左右控制走出迷宫,撞墙人物不动。数码管倒计时30s.30秒内走出迷宫则显示成功,30s内未走出或出界则显示失败-Quartus2 achieve with a maze game. Interface performed on 8 × 8 dot matrix. Countdown to 5 seconds after the beginning of the program into the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:551460
    • 提供者:hw
  1. wulian_lifeicheng_3012204231

    0下载:
  2. 基于quartus2软件的程序,我们的作业,做的做的比较认真,作用是洗衣机的基本功能能够实现-Quartus2-based software program, our job, made to do more serious, is to be able to achieve the basic functions of the washing machine
  3. 所属分类:ELanguage

    • 发布日期:2017-05-28
    • 文件大小:11140438
    • 提供者:李飞成
  1. wulian_dingwenxin_3012204216

    0下载:
  2. 基于quartus2的环境,做的认真,实现了微波炉的开关温度设置等-Quartus2 based environment, do seriously, to achieve a switching temperature microwave ovens, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1408405
    • 提供者:李飞成
  1. Sonic_2

    0下载:
  2. FPGA开发超声波测距,可改写工业探伤或倒车测距等系统,quartus2下选择EP2C5Q208C8(CycloneⅡ) 支持目前淘宝上能买到的所有4-5针超声波模块 应用cycloneⅡ自带除法模块 开发板为有光技术YG2.1 生成电路规模较小 !!注意:移植程序仅需重新约束数码管和超声波模块的针脚-Ultrasonic Ranging FPGA development, industrial inspection or reverse rewritable ranging
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:5685960
    • 提供者:cager
  1. DE2_115_SD_Card_Audio_Player

    0下载:
  2. DE2-115开发板的音频播放器的设计。使用Altera的NIOS2嵌入式处理器核实现。软件使用版本要求Quartus2 10.0以上。-The design of audio player based on DE2-115 developer board.
  3. 所属分类:source in ebook

    • 发布日期:2017-05-17
    • 文件大小:4572416
    • 提供者:顾庆水
  1. mux4_to_1

    0下载:
  2. this files in quartus2 are 4 to 1 mux
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2391
    • 提供者:woo
  1. fulladd

    0下载:
  2. this files in Quartus2 are fulladder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:152312
    • 提供者:woo
  1. ADC0804

    0下载:
  2. 使用Altera公司芯片编写的在quartus2下的verliog程序,改程序为DA芯片ADC0804的驱动,并将采样值现实在数码管上。程序模块化,可直接调用。-Using the Altera chip prepared under the quartus2 verliog program, change the program for the DA chip ADC0804 driver, and the sampling value of the reality in the digita
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:132920
    • 提供者:songzhi
  1. decoder38-ok-38译码器

    0下载:
  2. 使用quartus2软件的VHDL编写了简单38译码器,希望大家能积极学习(The use of quartus2 software VHDL prepared a simple 38 decoder, I hope we can actively study)
  3. 所属分类:VHDL/FPGA/Verilog

  1. mux16

    0下载:
  2. 用verilog写的乘法器,在quartus里可以直接运行,有详细注释(Multiplier written in Verilog, in quartus can run directly, with detailed notes)
  3. 所属分类:其他

  1. Exp302

    0下载:
  2. 这是一个在quartus2上写好的JK触发器,下载并运行其中quartus文件即可。(This is a JK trigger written on quartus2, downloading and running the quartus file.)
  3. 所属分类:其他

    • 发布日期:2018-01-10
    • 文件大小:7557120
    • 提供者:瓜皮233
  1. vhdl译码显示器设计

    0下载:
  2. vhdl译码显示器设计,用quartus2软件编写,可实现数码管的显示译码功能。(VHDL decipher display design, written in quartus2 software, can realize the display and decoding function of the digital tube.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:7680000
    • 提供者:YXT800
  1. CPLD-FREQUENCY CONTROL

    0下载:
  2. 通过手动和自动方式对输出频率进行设置,通过锁相环输出。(The output frequency is set by manual and automatic mode, and output by the phase locked loop.)
  3. 所属分类:Windows编程

    • 发布日期:2018-04-20
    • 文件大小:1494016
    • 提供者:小锐锐
  1. counter_60

    0下载:
  2. 利用EDA的Quartus2语言,实现时分秒的时钟计时等功能。(The use of EDA Quartus2 language, and the clock timer function realization.)
  3. 所属分类:其他小程序

    • 发布日期:2018-04-20
    • 文件大小:280576
    • 提供者:我是牛大
« 1 2 ... 5 6 7 8 9 1011 »
搜珍网 www.dssz.com