CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - uart VHDL

搜索资源列表

  1. my_uart_top

    0下载:
  2. 实现的功能如题,就是FPGA里实现从PC接收数据,然后把接收到的数据发回去。使用的是串口UART协议进行收发数据。上位机用的是老得掉牙的串口调试助手-To achieve the functions such as title, that is, to achieve FPGA receives data from the PC, and then receive data back fat. Using a UART serial port protocol to send and recei
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:2655
    • 提供者:刘虎
  1. uart

    0下载:
  2. i like verilog VHDL and system Verilog
  3. 所属分类:Kill Virus

    • 发布日期:2017-03-30
    • 文件大小:4143
    • 提供者:hoi
  1. URAT_vhdl

    0下载:
  2. URAT VHDL程序与仿真, UART接收器-uart vhdl sample code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:33835
    • 提供者:jz
  1. 87361001Uart2

    0下载:
  2. VHDL语言编写的UART串口通讯,2400Hz的波特率时钟-VHDL language UART serial communication, 2400Hz clock of baud rate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:43732
    • 提供者:火箭强
  1. UART2_vhdl

    0下载:
  2. 这是VHDL语言的uart串口驱动 感觉很难写的 但是这个可以移植的 比较好-This is the VHDL language serial uart driver feel it is very difficult but this can be written by transplantation is better huh
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:3160
    • 提供者:hanbin
  1. uart_Transmitter

    0下载:
  2. 自己写的一个uart驱动代码,是一个工程文件,适合初学者,里面的状态机的写法十分值得学习-To write a uart driver code, is a project file, suitable for beginners, which the wording of the state machine is worth learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:342090
    • 提供者:JackChen
  1. S7_PS2_RS232

    0下载:
  2. 利用cpld作为cpu控制器将ps2中取得按键值通过串口传送给pc机-cpld verilog ps2 UART
  3. 所属分类:Other systems

    • 发布日期:2017-04-09
    • 文件大小:1438790
    • 提供者:wphyl
  1. uart_test_ok_921

    0下载:
  2. 一个简单的uart 源码,接收一个字符并发回,通过测试,可以使用的,输入时钟12mhz,发送速率96-A simple uart source code, receiving a character and send back through the test, can be used, input clock 12mhz, sending rate 9600
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:379415
    • 提供者:wmd
  1. uart

    0下载:
  2. 用VHDL编写的RS232串口的通信程序-Written with the VHDL serial RS232 communication program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:198242
    • 提供者:chenye
  1. uart_0910

    0下载:
  2. uart串口传输的verilog RTL级源码,已通过仿真验证。文件主要包含发送、接受位处理,发送、接受字节帧处理,对学习串口通信的朋友很有帮助-uart serial transmission verilog RTL-level source code has been verified by simulation. File mainly contains the send, receive digital processing, sending, receiving bytes of fr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:7208
    • 提供者:李鹏
  1. fpga

    0下载:
  2. fpga数字电子系统设计与开发 ISE I2C UART usb vga -ISE I2C UART usb vga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1559238
    • 提供者:xiong
  1. lab4

    0下载:
  2. vhdl uart lab ENTITY uart IS PORT ( SIGNAL clock,reset : IN STD_LOGIC SIGNAL sdatain : IN STD_LOGIC SIGNAL oready, sdataout : INOUT STD_LOGIC SIGNAL iready : INOUT STD_LOGIC SIGNAL charin : INOUT STD_L
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:10624
    • 提供者:work
  1. UARTipcore

    0下载:
  2. 这是一个关于UART的IP核,用VHDL写的。经过本人的鉴证,非常实用并且写的非常好。-This is one of the IP core on the UART, using VHDL written. After my verification, very practical and very well written.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:22281
    • 提供者:11
  1. vhdl

    0下载:
  2. VHDL语言的UART串行接口芯片设计程序清单 附录1 数据接收据器的VHDL语言描述清单-vhdl serial
  3. 所属分类:Com Port

    • 发布日期:2017-04-09
    • 文件大小:1785379
    • 提供者:龙永
  1. UART

    0下载:
  2. UART FOR VHDL hoping that it can give you a hand.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6735
    • 提供者:mariston
  1. uart

    0下载:
  2. VHDL编写的异步输入输出接口控制程序 从网易博客上下的-VHDL write asynchronous input and output interfaces control the process from top to bottom Netease blog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:2852
    • 提供者:sunyuqi
  1. uart16550_latest[1].tar

    0下载:
  2. 开源UART IP核16550,该IP核兼容16550 UART,具有Modem功能,完全可编程的串行接口具有可设置的字符长度、奇偶校验、停止位以及波特率生成器。-Open-source UART IP core 16550, the IP core is compatible with 16550 UART, with Modem function, fully programmable serial interface can be set up with a character lengt
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1559265
    • 提供者:lisa1027
  1. SOPC_UART

    0下载:
  2. altera公司的ep1c240c8n,串口调试程序vhdl\nios ii8.0代码等-altera company ep1c240c8n, serial debugger vhdl \ nios ii8.0 code. .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3193923
    • 提供者:张东
  1. uart

    0下载:
  2. 程序说明: 本次实验控制开发板上面的串口,与PC机进行通信,并在串口精灵里面显示字符。 目录说明: 工程在\project文件夹里面 源文件和管脚分配在\rtl文件夹里面 下载文件在\download文件夹里面,.mcs为PROM模式下载文件,.bit为JTAG调试下载文件。-Procedure Note: The experimental control development board above the serial port to communicate wit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:904772
    • 提供者:军军
  1. UART

    0下载:
  2. 通用UART串口的VHDL描述,可自行设定奇偶校验,波特率等参数-VHDL descr iption of generic UART serial port, they are free to set parity, baud rate and other parameters
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1233541
    • 提供者:姜明
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 22 »
搜珍网 www.dssz.com