CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - verilog ad

搜索资源列表

  1. verilog_example

    0下载:
  2. verilog语言编写的相关程序源代码包括AD/DA、I2C、LCD、PS2、UART、VGA等等设备程序,很有用的。-verilog HDL AD/DA I2C PS2 UART
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3725717
    • 提供者:周红星
  1. ad_control

    1下载:
  2. 用verilog实现对AD7656的控制,包括AD的启停、数据的读入。-control the AD7656 to work properly
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:1651
    • 提供者:mayechen
  1. ADtlc549_ctl

    0下载:
  2. 用Verilog HDL设计的TLC549 AD转换控制模块 -Design using Verilog HDL the TLC549 AD conversion control module
  3. 所属分类:Other systems

    • 发布日期:2017-11-26
    • 文件大小:65041
    • 提供者:songsong
  1. AD_FIFO

    2下载:
  2. 简单的Verilog程序,针对音频实验板的AD到DA调通试验,下载执行前请按照自己试验环境更改设置-Simple Verilog program for test the AD to DA loop of universal audio test platform. Please configure it according to the test environment before download and implement the program to FPGA
  3. 所属分类:VHDL编程

    • 发布日期:2014-02-23
    • 文件大小:3955361
    • 提供者:ZHU XIANGYU
  1. serial_adda

    0下载:
  2. 串行AD/DA的实验。Verilog初学者实验程序。已在quartus下测试成功。-Serial AD/DA experiments. Verilog beginners experimental procedures. Been in quartus under test success.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:806877
    • 提供者:chirs
  1. UltraSensor-Vram-V8

    0下载:
  2. Verilog语言编写的FPGA程序,有串口收发引擎代码,AD初始化采集代码,键盘扫描代码-FPGA Verilog language program, a serial port transceiver engine code, AD initialization acquisition code, the keyboard scan codes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:2376079
    • 提供者:guowuye
  1. AD_control

    0下载:
  2. 采用verilog语法实现对AD模块的控制-AD control based on verilog
  3. 所属分类:Other systems

    • 发布日期:2017-11-23
    • 文件大小:603826
    • 提供者:唐龙
  1. AD9648_ver

    2下载:
  2. FPGA通过SPI总线配置AD采集芯片AD9648的程序,Verilog实现 -FPGA configuration via SPI bus chip AD9648 AD acquisition procedures, Verilog realization
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-05-18
    • 文件大小:1941504
    • 提供者:路永轲
  1. ADS8325

    0下载:
  2. ADS8253,8位串行高速AD转换芯片的FPGA驱动程序,verilog语言版本-ADS8253, 8-bit serial high-speed AD converter chip FPGA driver, verilog language version
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:799552
    • 提供者:毛昱枫
  1. adc_ctl

    2下载:
  2. AD采集芯片ADS8328的Verilog驱动代码,经过验证可用 -//Target IC: ADS8328(Read Frame Controlled via CS(FS=1) // IC Descr iption: Manual Channel Select, CLK Period = 10MHz(1MHz-21MHz), CS_n Low to DataVaild [3ns,15ns] // IC Time Sequence: da_tick = 50ns, da work p
  3. 所属分类:其他小程序

    • 发布日期:2017-05-07
    • 文件大小:2917
    • 提供者:代云启
  1. PCI_Express_AD1_1

    1下载:
  2. pci-e高速ad高速采集,应用ddr2,fpga逻辑,verilog语言-pci-e ad-speed high-speed acquisition, application ddr2, fpga logic, verilog language
  3. 所属分类:Other Embeded program

    • 发布日期:2016-07-20
    • 文件大小:5348352
    • 提供者:蒋大鹏
  1. MAX121_test

    1下载:
  2. max121,ad采集芯片,spi接口,fpga测试逻辑,verilog语言-max121, ad capture chip, spi interfaces, fpga test logic, verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-05-18
    • 文件大小:6812672
    • 提供者:蒋大鹏
  1. DA

    0下载:
  2. verilog 串行AD转换 TLC549AD采样程序-verilog serial AD converter TLC549AD sampling procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1057
    • 提供者:祝春晖
  1. AD_4-

    0下载:
  2. ADC,芯片AD7812的转换代码,可实现AD转化,AD芯片用的是AD7812,实现16位数模转化-Verilog HDL code, the AD conversion can be achieved. AD-chip using a AD7812
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:856
    • 提供者:管鑫
  1. ADCS5451A_Sample

    0下载:
  2. 用verilog语言实现的ADCS5451 AD转换芯片的控制与数据读取。-Using verilog language to achieve ADCS5451 AD converter chip control and data read.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1449
    • 提供者:贺风
  1. FPGA_Uart

    1下载:
  2. FPGA程序,verilog HDL语言编写,包含AD转换和串口发送程序,由于AD芯片种类繁多时序迥异,故主要参考串口发送程序。本程序使用quartus ii 13.0 编写。-FPGA procedures, verilog HDL language, includes an AD converter and serial transmission program, since a wide range of AD chip timing are different, so the main
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-05-18
    • 文件大小:676864
    • 提供者:suchenguang
  1. S16_ADC

    0下载:
  2. 用Verilog HDL语言编写的AD转换器,可以再Xilinx芯片实现,用ISE软件环境下开发-Using Verilog HDL language AD converter, you can then Xilinx chip, with the ISE software development environment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1460831
    • 提供者:Shixuan Gou
  1. AD7865

    0下载:
  2. verilog HDL语言编写的16位AD采样程序,包含源码和测试文件,已通过测试-verilog HDL language 16 AD sampling procedures, including source code and test files, has been tested
  3. 所属分类:Other systems

    • 发布日期:2017-04-23
    • 文件大小:459733
    • 提供者:叶欣
  1. AD9777_SPI_CONFIG

    1下载:
  2. verilog ad9777 ad芯片的配置程序,SPI接口协议 16bit DA-Verilog ad9777 AD chip configuration program, SPI interface protocols for 16 bit DA
  3. 所属分类:transportation applications

    • 发布日期:2014-07-01
    • 文件大小:1024
    • 提供者:huo jiwei
  1. tlv2553

    0下载:
  2. verilog tlv2553 TI公司的ad芯片 在modelsim上进行波形仿真-verilog tlv2553
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:1194
    • 提供者:sunlu
« 1 2 3 4 56 »
搜珍网 www.dssz.com