CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 搜索资源 - 多路选择器

搜索资源列表

  1. 44b0_Adc

    0下载:
  2. S3C44B0X 具有 8 路模拟信号输入的 10 位模/数转换器(ADC),它是一个逐次逼近型 的 ADC,内部结构中包括模拟输入多路复用器,自动调零比较器,时钟产生器,10 位逐次 逼近寄存器(SAR),输出寄存器如下图所示。这个 ADC 还提供可编程选择的睡眠模式, 以节省功耗。 -S3C44B0X with eight analog signal input to the 10 analog / digital converter (ADC), It is a succe
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:236004
    • 提供者:wgz
  1. Max7219

    0下载:
  2. MAX7219驱动LED特好使.MAX7219是微处理器和共阴极七段— — 八位LED显示、图条/柱图显示或64点阵显示接口的小型串行输入/输出芯片。片内包括BCD译码器、多路扫描控制器、.字和位驱动器和8X 8静态RAM。外部只需要一个电阻设置所有LED 显示器字段电流。MAX7219和微处理器只需三根导线连接,每位显示数字有一个地址由微处理器写入。允许使用者选择每位是BCD 译码或不译码。使用者还可选择停机模式、数字亮度控制、从1~8选择扫描位数和对所有LED显示器的测试模式。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:17571
    • 提供者:guodada
  1. yibuqinglin

    0下载:
  2. 含异步清0和同步时钟使能的4位加法计数器 含计数使能,异步复位和计数值并行预置功能4位加法计数器,由实验图1所示,图中间是4位锁存器 rst是异步清信号,高电平有效 clk是锁存信号 D[3..0]是4位数据输入端.当ENA为 1 时,多路选择器将加1器的输出值加载于锁存器的数据端 当ENA为 0 时将\"0000\"加载于锁存器.
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:63832
    • 提供者:黄杰深
  1. mux4_1.数字系统设计的编程

    0下载:
  2. 数字系统设计的编程,实现四选一的多路选择器,用verilog实现。,The design of digital systems programming, to achieve the election of the four MUX, with the realization of verilog.
  3. 所属分类:Windows编程

    • 发布日期:2017-03-23
    • 文件大小:50676
    • 提供者:kahn
  1. Some_design_of_interface(IIC_P

    0下载:
  2. 一些接口电路的Verilog设计,主要包括IIC、PS2、矩阵键盘、RS232、还有一些基础试验的源代码如:除法器、多路选择器、加法器、减法器、8位优先编码器等。,Some design of interface(IIC,PS2,RS232...)
  3. 所属分类:Other systems

    • 发布日期:2017-05-11
    • 文件大小:2993187
    • 提供者:李皓
  1. pingpong 多路选择器实现

    1下载:
  2. 实现了乒乓操作,用多路选择器来实现,简单易懂。-Achieved a ping-pong operation, With the MUX to achieve, easy to understand
  3. 所属分类:Windows编程

    • 发布日期:2012-10-28
    • 文件大小:17742
    • 提供者:dengaizhi
  1. FPGAVerilogHDLcode.RAR

    0下载:
  2. 一些例程供参考,包括加法器,减法器,多路选择器-failed to translate
  3. 所属分类:Other systems

    • 发布日期:2017-05-06
    • 文件大小:1276776
    • 提供者:zhangbin
  1. Kile

    0下载:
  2. 16位抢答器 可完全锁定 有主持人控制 多路抢答选择-16 Responder can be fully locked with the host control multiple choice answer in
  3. 所属分类:Communication

    • 发布日期:2017-04-04
    • 文件大小:30143
    • 提供者:xiaoyuzi
  1. fVerrilog_Devr

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BBCD码,加法器,减法器,简简单易懂状态机,四位比较器,7段数码管,i2c总线,lcd液晶LCD显示出来,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟 可直接使用。 -Friends, I Jawen. See previous upload a CPLD Development Board VHDL so
  3. 所属分类:Windows Develop

    • 发布日期:2017-12-05
    • 文件大小:3170695
    • 提供者:qtzx
  1. exp1

    0下载:
  2. 2选1 多路选择器 其中a, b是输入信号,s 是通道选择信号,y是输出信号。 当s=0时,y=a; 当s=1 时,y=b。 -2 to 1 multiplexer to select where a, b is the input signal, s is the channel selection signal, y is the output signal. When s = 0, y = a when s = 1, y = b.
  3. 所属分类:Other systems

    • 发布日期:2017-11-17
    • 文件大小:157099
    • 提供者:房客
  1. project1

    0下载:
  2. 4比1多路选择器,HDl verilog语言编写,能在DE2上运行-4 to 1 multiplexer, HDl verilog language, able to run on the DE2
  3. 所属分类:Other systems

    • 发布日期:2017-12-09
    • 文件大小:259288
    • 提供者:董凯明
  1. erxuanyiduoluxuanzeqi_no_maoxian

    0下载:
  2. 二选一多路选择器的verilog实现。VHDL,单片机开发程序,数字逻辑与处理器基础实验,你懂d。-Choose one multiplexer selector verilog implementation. VHDL, MCU development program, the digital logic and processor basic experiment, you know d.
  3. 所属分类:ADO-ODBC

    • 发布日期:2017-04-11
    • 文件大小:519
    • 提供者:JJ
  1. sixuanyiduoluxuanzeqi_verilog

    0下载:
  2. 四选一多路选择器的verilog实现。VHDL,单片机开发程序,数字逻辑与处理器基础实验,你懂d。-4 election more than one way selector verilog implementation. VHDL, MCU development program, the digital logic and processor basic experiment, you know d.
  3. 所属分类:Driver Develop

    • 发布日期:2017-04-10
    • 文件大小:598
    • 提供者:JJ
  1. compare

    0下载:
  2. 八位字节比较器,四选一多路选择器,二分频电路-Octet comparator 4 election more than one way selector, the second divider circuit
  3. 所属分类:Other systems

    • 发布日期:2017-05-01
    • 文件大小:13162
    • 提供者:高思梦
搜珍网 www.dssz.com