CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 搜索资源 - 报时 VHDL

搜索资源列表

  1. C2

    0下载:
  2. 功能更加完善的基于vhdl的数字时钟设计 有秒表,时钟,时期,闹钟的功能和整点报时,时间调整,日期调整,闹钟的设定 、、、、、、、 秒表有开始,暂停,清零等功能,且只有在暂停的情况下才能清零。
  3. 所属分类:其它

    • 发布日期:2014-01-17
    • 文件大小:817138
    • 提供者:张廷
  1. q

    0下载:
  2. 数字钟是一个将“时”“分”“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时;显示满刻度为23时59分59秒,另外具备校时功能和报时功能。因此,一个基本的数字钟电路主要由“时”“分”“秒”计数器校时电路组成。将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累加60秒发送一个“分脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计数器,可实现对一天24小时的累计。译码显示电路将“时”“分”“秒”计数器的输出状态六段显示译码器译码。通过六位LED七段显示器显示出
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:6602
    • 提供者:李苏铭
  1. clock

    0下载:
  2. 电子时钟具有一般时钟所具有的所有功能,定时,报时,显示时间和日期以及秒表等等功能。-electric clock
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-12
    • 文件大小:1380
    • 提供者:huyue
  1. clock

    0下载:
  2. 本文档采用VHDL语言编写了一个数字时钟的程序,该数字时钟采用24小时制计时,可以实现整点报时,时间设置,闹钟等功能。最小分辨率为1秒。-VHDL language in this document using a digital clock to prepare the procedure, the digital clock 24-hour time system, you can bring the whole point of time, time settings, alarm clo
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:681184
    • 提供者:cindy
  1. 61EDA_D1094

    0下载:
  2. 电子钟 1. 具有以二十四小时制计时、显示、整点报时、时间设置和闹钟的功能。 2. 射击精度要求为1s-jfh dfjhjd dsf dfj sfjhu sdjah jfd djfhdju jk d f df d f df d f dfs dd f d fd df dd dfdfd fd df d d df d d df df dfsfere sdf3df
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-04
    • 文件大小:1522666
    • 提供者:chenqi
  1. top_clock

    0下载:
  2. 多功能数字钟,有校时,仿广播报时,整点报时,闹铃等功能!-Multifunction digital clock, there are schools, the fake radio timekeeping, the whole point timekeeping, alarm and other functions!
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:1383
    • 提供者:puhaitao
  1. VHDLforclock

    0下载:
  2. 用VHDL编写电子时钟芯片,具有整点报时,闹钟,秒表功能,调时可按十分与个位分别调时-The preparation of electronic clock chip with VHDL, with the whole point timekeeping, alarm clock, stopwatch function, can be transferred when the transfer is with a bit difference when the
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-17
    • 文件大小:4539324
    • 提供者:林寒
  1. eda

    0下载:
  2. eda实验时钟电路系统由秒时钟产生电路、走时电路模块、数字显示模块、校时模块、语音报时模块、工业控制模块-eda test clock circuit generated by the second clock circuit, the circuit blocks away, the digital display module, the campus module, voice timekeeping module, industrial control modules
  3. 所属分类:Other systems

    • 发布日期:2017-05-11
    • 文件大小:2640339
    • 提供者:樱花烬
  1. 0608190248xiatao

    2下载:
  2. 实验利借助于Quartus II 软件设计了一个多功能数字钟,实现了校时,校分,清零,保持和整点报时等多种基本功能,此外还实现了闹钟,星期,音乐闹铃等附加功能。本文首先利用Quartus II进行原理图设计并仿真调试,最后在实验板上验证了设计的正确性。 关键字:数字钟 闹钟 仿真 准点报时 -Quartus II software by means of experimental Lee designed a multi-functional digital clock and real
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-06
    • 文件大小:1186223
    • 提供者:李敬超
  1. clock

    0下载:
  2. 用vhdl写的数字电子时钟,能够定闹钟,定点报时,调时,用Quartus II 7.2 (32-Bit)写的,压缩文件,里面有源程序,仿真文件等(就是所建的工程)-Digital electronic clock vhdl write, to set the alarm clock, designated chime tune, written using Quartus II 7.2 (32-Bit), compressed files, source code and simulation
  3. 所属分类:Other systems

    • 发布日期:2017-11-25
    • 文件大小:280060
    • 提供者:闭梁祖
  1. eclock

    0下载:
  2. 使用vhdl语言实现一个集计时器,闹钟,整点报时为一体的电子钟-Electronic clock VHDL language as one of a set timer, alarm clock, the whole point timekeeping
  3. 所属分类:Other systems

    • 发布日期:2017-11-30
    • 文件大小:965060
    • 提供者:王小飞
  1. clock

    0下载:
  2. 用VHDL编写的数字钟,可以走时,读秒,用作闹铃,整点报时-Using VHDL digital clock, you can take time, countdown, for alarm, hourly chime, etc.
  3. 所属分类:Other systems

    • 发布日期:2017-12-05
    • 文件大小:793
    • 提供者:joymonkey
  1. shizhong

    0下载:
  2. VHDL写时钟,分频模块什么,实现计时。定点报时,定点闹钟,显示年月日。-verilog HDL
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:2290
    • 提供者:lu
  1. clock

    0下载:
  2. VHDL语言写的电子时钟,该数字电子钟能够实现时、分、秒计时功能;校准时和分的功能;校准时间时秒清零的功能;整点报时的功能;-written in VHDL,clock,count second,minute and hour
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-14
    • 文件大小:3242614
    • 提供者:聪聪
  1. shuzizhong

    0下载:
  2. 在ise平台上用VHDL语言实现数字钟,具有计时和重置时间功能、整点报时功能、闹钟功能,每个功能都使用元件例化的方法,通过顶层文件将每一个模块联系在一起。-On ise platform using VHDL digital clock with timer and reset the time function, the whole point timekeeping function, alarm clock function, each function using the compone
  3. 所属分类:Other systems

    • 发布日期:2017-04-27
    • 文件大小:265576
    • 提供者:陈杨
  1. clock

    0下载:
  2. 基于VHDL的多功能闹钟,可以实现整点报时,设置闹钟时间,在数码管上面显示。-Multi function alarm clock based on VHDL, can realize the whole point of time, set the alarm time, shown above in the digital.
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:1494
    • 提供者:七号
  1. 数字钟设计

    0下载:
  2. 1.蜂鸣器整点报时 2.clr清零端,按下全部归零 3.使能端,按下使能端,数字钟停止,放开使能端,数字钟恢复(A digital clock, with a buzzer, a reset button, and an end.)
  3. 所属分类:其他

    • 发布日期:2018-04-18
    • 文件大小:496640
    • 提供者:213qeqe
搜珍网 www.dssz.com