CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 搜索资源 - 锁存器

搜索资源列表

  1. VHDLshixuluoji

    0下载:
  2. 简单的12位寄存器 带三态输出的8位D寄存器:74374 简单的锁存器-simple register with 12 three-state output of eight D Register : 74374 simple latch
  3. 所属分类:界面编程

    • 发布日期:2008-10-13
    • 文件大小:1380
    • 提供者:赵天
  1. suanshuluojidanyuan

    0下载:
  2. 1. 课程设计的任务 本次课程设计的任务是实现一个算术逻辑运算单元,使之能够完成不带进位位算术、逻辑八位二进制数的运算。由具有扩展能力强,结构简单清晰,连线方便快捷的总线结构作为系统结构。系统测试采用在系统的每个总线上设置测试孔。采用闪存存储数据,系统可以通过监测模块来修改和控制微程序的运行。 采用若干种类的芯片组作为运算器和数据输入输出缓冲、输入锁存器,其中2片74LS181构成8位字长的ALU单元是算术逻辑运算单元核心。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:795228
    • 提供者:xwy1985s
  1. yibuqinglin

    0下载:
  2. 含异步清0和同步时钟使能的4位加法计数器 含计数使能,异步复位和计数值并行预置功能4位加法计数器,由实验图1所示,图中间是4位锁存器 rst是异步清信号,高电平有效 clk是锁存信号 D[3..0]是4位数据输入端.当ENA为 1 时,多路选择器将加1器的输出值加载于锁存器的数据端 当ENA为 0 时将\"0000\"加载于锁存器.
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:63832
    • 提供者:黄杰深
  1. multi8x8

    0下载:
  2. 该源码为8位乘法器的VHDL语言描述,由一个8位右移寄存器,2个4位加法器例化成8位加法器,一个16位数据锁存器构成。采用移位相加的方式,从被乘数的低位开始,与乘数的每个位移位相加求和。最后实现其乘法器功能。-The source code for the 8-bit multiplier in VHDL language to describe, from an 8-bit right shift register, two 4-bit adder example into 8-bit add
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:393061
    • 提供者:feng
  1. 4luqiangdaqi

    0下载:
  2. 4路抢答器,具有锁存与声音和LED显示功能。-Answer 4-way, and has a latch with the sound and LED display.
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-17
    • 文件大小:102477
    • 提供者:春晨
  1. DigitalCircuitExerciseAnswer

    1下载:
  2. 电子技术基础(第五版数字部分)康华光 课后习题解答 1 数字逻辑概论 2 逻辑代数与硬件语言描述 3 逻辑门电路 4 组合逻辑电路 5 锁存器和触发器 6 时序逻辑电路 7 存储器 8 脉冲波形的变换与产生 9 数模与模数转换器-The basis of electronic technology (the fifth edition of the digital part), Culture and Sport Exercise Huaguang ans
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:304653
    • 提供者:zhaocuiqin
  1. course

    0下载:
  2. 简单微型计算机设计 设计一个8088系统,要求接成最大模式。地址锁存器选用74LS373,数据总线收发器用选用74LS245,时钟发生器选用8284,中断控制器选用8259A,总线控制器选用8288。 -Design a simple micro-computer. Design 1. 8088 to design a system, then into the most requested model. Address latch selection 74LS373, selectio
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:242046
    • 提供者:siren
  1. AD9851_VERILOG

    0下载:
  2. 一个DDS芯片AD9851的VERILOG程序,加74HC574锁存器!-A DDS chip AD9851' s VERILOG program, plus 74HC574 latch!
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:594
    • 提供者:陈枫
  1. 1231

    0下载:
  2. 8位数码扫描显示 输出数据直接在程序中给出增加8个4位锁存器 外部输入8个待显示的直六进制数-8位数码扫描显示 输出数据直接在程序中给出增加8个4位锁存器 外部输入8个待显示的直六进制数
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-10
    • 文件大小:1123
    • 提供者:
  1. D

    0下载:
  2. 用数码管编的时钟程序,采用了数码管的动态显示,没有锁存器-Part of the clock with a digital control program, using the digital control of dynamic display, no latch
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:16379
    • 提供者:zhongweijia
  1. mTouchDemoCap12F206

    2下载:
  2. PIC单片机采用SR锁存器功能写的电容触摸按键的代码mTouchDemoCap12F206-mTouchDemoCap12F206
  3. 所属分类:Driver Develop

    • 发布日期:2017-04-03
    • 文件大小:61743
    • 提供者:wugp
  1. suocunqi

    0下载:
  2. D锁存器VHDL语言描述。使能端有效时,Q《=D-D latch described in VHDL language. Enable effective end when, Q " = D
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-30
    • 文件大小:2069
    • 提供者:yuer
  1. HT1621--LCD

    1下载:
  2. 你要驱动的LCD 3COM 6SEG 要用驱动IC 或 锁存器 下面是HT1621驱动程序,用电阻分压和锁存器成本低点,驱动芯片占用I/O口少-You have to drive LCD 3 COM 6 SEG with driver IC or latches Below is HT1621 driver, with resistance points pressure and latches cost low, drive chip takes up the I/O mo
  3. 所属分类:Driver Develop

    • 发布日期:2017-03-28
    • 文件大小:1196
    • 提供者:妙亮
  1. 550vvhdll0

    0下载:
  2. 50个VHDL常用的模块,包含计数器器,译码器,编码器,锁存器等等,可供参考 -50 VHDL commonly used modules, including the counter, decoder, encoder, latches and more is available for reference
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-03
    • 文件大小:44526
    • 提供者:分配
  1. SR_latch

    0下载:
  2. SR锁存器的verilog程序实现-SR latch verilog program
  3. 所属分类:其他小程序

    • 发布日期:2017-03-03
    • 文件大小:4240803
    • 提供者:忘忧草
  1. Eight-16-band-frequency-meter-design

    0下载:
  2. 8位16进制频率计的设计,其中包括测评控制电路的设计,32位锁存器的设计,32位计数器的设计和频率计顶层文件-Eight 16-band frequency of the design, including the design of the evaluation of the control circuit, 32-bit latch design, the design of 32-bit counter and frequency meter top-level document
  3. 所属分类:Other systems

    • 发布日期:2017-11-10
    • 文件大小:1829806
    • 提供者:杨静
  1. shumaguan

    0下载:
  2. STC系列单片机————运用74HC595锁存器 矩形键盘按键在数码管上显示相应数字-The STC MCU---- use 74HC595 latch rectangular keyboard keys digital display corresponding figures
  3. 所属分类:CSharp

    • 发布日期:2017-11-11
    • 文件大小:13187
    • 提供者:张小虎
  1. Exp301

    0下载:
  2. 这是一个D锁存器完整文件,打开quartus2运行即可(This is a complete file of the D latch, open the quartus2 to run)
  3. 所属分类:其他

    • 发布日期:2018-04-18
    • 文件大小:7461888
    • 提供者:瓜皮233
  1. 电子密码锁

    1下载:
  2. (1)主要任务是产生一个开锁信号,而开锁信号的形成条件是输入代码和已设置的密码相同。实现这种功能的电路构思有多种。比如:用2片8位数据锁存器或2片4位寄存器,一片存入开锁的代码,另一片存入密码,通过比较的方法判断,若二者相等,则形成开锁信号。 (2)在产生开锁信号后,要求输出声、光信号。其中音响的产生可以由开锁信号去触发一个音响电路。其中的光信号可以用开锁信号点亮LED指示灯。 (3)用按钮开关的第一个动作信号触发一个5S的定时器,若在5S内未将锁打开,则电路进入自锁状态,使之无法再打开,并由扬
  3. 所属分类:其他

    • 发布日期:2020-07-01
    • 文件大小:377856
    • 提供者:刘贤瑜
  1. 六人抢答器

    1下载:
  2. 数字式竞赛抢答器主要由74 系列集成电路组成。该抢答器除具有基本的抢答功能外, 还能实现定时、报警和抢答信号的鉴别和首个抢答锁存等抢答相关的多功能。在初始状态主持人掌握整个抢答电路的复位键个开始抢答键,在抢答开始的时候,主持人先将电路复位,接着按下开始键,即可进入30s常规抢答;若在按下开始键之前抢答者按下按钮,电路则会报警(所对应的组的led灯将会点亮),电路将自动扣去提前抢答的组的10分。在有人抢答到后,电路会锁存第一个抢答到的组号,并进入120s答题时间,答对加分,答错则扣分。6个组别原始
  3. 所属分类:其他

    • 发布日期:2020-09-06
    • 文件大小:1404928
    • 提供者:LYRhang
« 12 »
搜珍网 www.dssz.com