CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 倍频 verilog

搜索资源列表

  1. qep_data_bus

    0下载:
  2. 基于地址总线接口的四倍频编码器信号接口的 FPGA实现 Verilog HDL的-address bus interface based on the four frequency signal encoder interface FPGA Verilog HDL
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1188355
    • 提供者:孙卓君
  1. twice_freqencey

    0下载:
  2. 用Verilog直接完成倍频的算法,经过了quartus8.0的时序仿真-Verilog multiplier used directly to complete the algorithm, as a result of timing simulation quartus8.0
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:231982
    • 提供者:nikui
  1. beipin_top

    1下载:
  2. 次代码利用verilog HDL来描述的,可以实现2倍频功能,只是频率有一点误差。-Times verilog HDL code to describe the use of, 2 octave function can be achieved, but the frequency of a bit error.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:101346
    • 提供者:刘三平
  1. dds

    1下载:
  2. 基于FPGA的DDS设计,本程序采用verilog HDL语言编写,使用DDS+Pll倍频-The DDS-based FPGA design, the procedures used verilog HDL language, the use of DDS+ Pll frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-07-29
    • 文件大小:190930
    • 提供者:赵一
  1. DCM

    0下载:
  2. xilinx SP605开发板的DCM模块验证程序,coreGen工具生成DCM核,由DCM完成时钟分频、倍频、移相等操作-xilinx SP605 development board DCM module validation program, coreGen tool to generate nuclear DCM, completed by the DCM clock divider, frequency, and shift operations equal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-25
    • 文件大小:2599936
    • 提供者:wangyu
  1. arm_moni

    0下载:
  2. verilog 程序,用于通讯系统测试,输入40MHz时钟,40倍分频之后,输出1Mhz时钟-verilog procedures for communication system testing, 40MHz input clock frequency to 40 times, the output clock 1Mhz
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-02
    • 文件大小:94834
    • 提供者:sss
  1. verilogfenpinqi

    0下载:
  2. verilog分频器代码 分为偶数倍分频和奇数倍分频两个verilog源文件 附带一个说明文档-divider verilog code for multiple sub-divided into even and odd frequency divider several times with a two verilog source files documentation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1886
    • 提供者:王楚宏
  1. odd_division_wushihai

    0下载:
  2. 对于实现占空比为50 的N倍奇数分频,首先进行上升沿触发进行模N计数,计数到某一个值n时输出时钟进行翻转,然后再计数(N-1)/2次,再次进行翻转得到一个占空比非50 奇数n分频时钟。同理,同时进行下降沿触发的模N计数,等计数到n时,输出时钟进行翻转,同样再计数(N-1)/2次,输出时钟再次翻转生成占空比非50 的奇数n分频时钟。两个占空比非50 的n分频时钟进行相或运算,即得到占空比为50 的奇数N分频时钟。verilog HDL实现-For achieving a 50 duty cyc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:7699
    • 提供者:世海
  1. hdlc_7960

    0下载:
  2. 基于Verilog的7960实现。主要实现曼彻斯特的编解码。采用的倍频采样的方法。-Based on the 7960 Verilog implementation. Main achieved Manchester encoding and decoding. Frequency sampling method used.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:702711
    • 提供者:栾帅
  1. Small-multiplier

    0下载:
  2. 小型倍频器,简单的介绍了如何用verilog写倍频电路》-Small multiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1322908
    • 提供者:zhang
  1. division-verilog

    0下载:
  2. 文章详解介绍了用Verilog HDL语言编写任意倍偶数分频和奇数分频的原理以及源程序,都通过仿真,结果完全正确。-The article introduced with sep Verilog HDL language writing any times frequency and the odd points even points of the principle and the frequency source program, through the simulation, the r
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6070
    • 提供者:范先龙
  1. verilog--divide-programs

    0下载:
  2. verilog任意分频程序,包括奇数倍分频和偶数倍分频,占空比为50 ,QuartusII上验证程序有效-verilog every divide programs, including an odd multiple divider and even multiple frequency, duty cycle 50 , the QuartusII on the verification process
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:578123
    • 提供者:ni husheng
  1. verilog-pll

    1下载:
  2. 用verilog写的倍频电路 文件中介绍DP-The multiplier circuit file by verilog introduced DPLL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-11
    • 文件大小:1322834
    • 提供者:loadziliao
  1. grantyz

    0下载:
  2. 4倍频鉴相功能模块,利用Verilog hdl语言编写的-4x phase function module using Verilog hdl language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:621
    • 提供者:王驰远
  1. frequency

    0下载:
  2. 时钟信号的各种分频、倍频实现,利用PLL实现及Verilog HDL语言。-The application of different frequency
  3. 所属分类:DSP program

    • 发布日期:2017-04-29
    • 文件大小:60111
    • 提供者:陈沐沐
  1. TX_RX

    0下载:
  2. FPGA用verilog实现串口和电脑的字符串以及单字符精准无误通信,即通过电脑向FPGA发送任一长度数据,FPGA返回PC相同的数据。波特率为9600,本例程为了得到精准的波特率使用了50M时钟的3倍频,测试可用,如有不明的地方,可以给我留言-FPGA implementation using verilog string and the computer serial port and single-character accurate communication, 9600, FPGA u
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3475137
    • 提供者:冷酷豪迈
  1. verilog

    0下载:
  2. 本文档设计了1光栅位移传感器信号的接收、光栅位移传感器信号的整形及电平转换电路设计,用Verilog HDL描述了锁相倍频细分和零位信号处理电路。利用FPGA实现光栅位移系统与上位机接口的电路原理框图-This document designed a grating displacement sensor signal reception, grating displacement sensor signal shaping and level conversion circuit design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:108298
    • 提供者:吴汉
  1. fenpin

    0下载:
  2. 可以实现n+0.5倍的分频,本程序是利用50MHz的FPGA开发板实现分别实现10MHz,2.5MHz的分频时钟。(N+0.5 times can be achieved frequency division, this procedure is to use 50MHz FPGA development board to achieve, respectively, 10MHz, 2.5MHz frequency division clock.)
  3. 所属分类:嵌入式/单片机编程

  1. jingxiang_beipin

    0下载:
  2. 实现编码器鉴向和4倍频,可用于电机测速等。(To achieve encoder and 4 times the frequency, can be used for motor speed and so on.)
  3. 所属分类:VHDL/FPGA/Verilog

  1. div

    0下载:
  2. 运用verilog语言实现将频率分为二倍的作用。(two divided-frequency)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-03
    • 文件大小:1024
    • 提供者:allbest
« 12 »
搜珍网 www.dssz.com