CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 出租车计费器

搜索资源列表

  1. designtaxi_25

    0下载:
  2. 出租车计费器,VHDL实现,对学数字逻辑的同学有帮助的。-Taxi meter, VHDL realization of digital logic for school students have help.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:728165
    • 提供者:ZhengHuazhen
  1. chuzuche

    0下载:
  2. 出租车计费系统,我的课程设计。希望大家多多指点-Taxi billing system, I designed the curriculum. I hope everyone a lot of advice! !
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:93088
    • 提供者:陈岩
  1. taxi

    0下载:
  2. 出租车计费器系统。起步价,3km后按1.2元/km计算,当计费器达到20元时,每千米加收50 的车费。车停止和暂停时不计费。-Taxi billing system. Pricing starts, 3km after 1.2/km calculated that when the meter reached 20 yuan per km additional 50 of the fare. Cars do not stop and suspend billing.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1961
    • 提供者:dong
  1. ttt

    0下载:
  2. 该系统利用VHDL语言、PLD设计出租车计费系统,以MAX+PLUSⅡ软件作为开发平台,设计了出租车计费器系统程序并进行了程序仿真。使其实现计费以及预置和模拟汽车启动、停止、暂停等功能,并动态扫描显示车费数目。-The system is the use of VHDL language, PLD design taxi billing system to MAX+ PLUS Ⅱ software as a development platform designed billing syste
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:183616
    • 提供者:cch
  1. vhdl

    0下载:
  2. VHDL的论文,有关出租车计费器的设计,很好。-VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:3120
    • 提供者:黄凯
  1. vhdl

    0下载:
  2. :以上海地区的出租车计费器为例,利用Verilog HDL语言设计了出租车计费器,使其具有时间 显示、计费以及模拟出租车启动、停止、复位等功能,并设置了动态扫描电路显示车费和对应时间,显示 了硬件描述语言Verilog—HDL设计数字逻辑电路的优越性。源程序经MAX+PLUS Ⅱ软件调试、优 化,下载到EPF1OK10TC144—3芯片中,可应用于实际的出租车收费系统。-: A Shanghai taxi meter area for example, the use of Veri
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:212299
    • 提供者:mindy
  1. chuzhuche2

    0下载:
  2. VHDL语言设计的出租车计费器,能模拟汽车启动、停止、暂停、车速等状态,能预置起步费、每公里收费、车行加费里程,能实现计费功能。功能强大,初学者适合看一看。-VHDL language design taxi billing, and can simulate the vehicle to start, stop, pause, speed, etc., and to preset the initial charges, fees and charges per kilometer, plus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1896698
    • 提供者:阿Q
  1. taxi

    1下载:
  2. 用verilog写的基于cpld的出租车计费器的源码,需要的参考一下-Use verilog to write a taxi based cpld billing device source code, need to refer to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1282030
    • 提供者:许璐璐
  1. taxi

    0下载:
  2. 出租车计费器,用以实现出租车计费的小程序,用VHDL编程实现-Taxi meter, used to achieve a small taxi billing procedures, using VHDL programming
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:37329
    • 提供者:天空
  1. YUZHI

    0下载:
  2. 出租车计费器,能实现车费、车程显示,单价、起步价设置。-Taxi meter, can achieve fares, car shows, unit prices starting to set.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:544
    • 提供者:卢伟滔
  1. Taxi_meter

    0下载:
  2. 设计一个满足日常生活所需功能的出租车计费器,实现计费功能。-Designed to meet the daily needs of a taxi meter function and achieve billing functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:337358
    • 提供者:刘智虎
  1. taxi

    0下载:
  2. 基于FPGA的出租车计费器 所要设计的出租车计价器,要求能够显示里程数和乘客应付的费用,其中里程数精确到0.1km,乘客应付的费用精确到O.1元,显示必须以十进制的形式来进行。出租车的计费标准为:起步价6元,里程在3 km以内均为起步价;里程在3~7 km之间时,每行驶1 km增加1.6元;超过7 km时,每行驶1 km增加2.4元。-FPGA-based taxi meter by meter taxi to design, requires the ability to show mi
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-01
    • 文件大小:199899
    • 提供者:wangzexiang
  1. TaxiMeterProgramToAchieveFunctional

    0下载:
  2. 出租车计费器程序,能实现功能。包含存储各种价格,里程计算,各种模式的切换。程序流程图思路清晰。-Taxi meter program to achieve functional. Includes storage of various price, mileage calculation, the various modes of switching. Flowchart of clear thinking.
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:448161
    • 提供者:阿斌
  1. 22222

    0下载:
  2. 出租车计费器 可以记录汽车行程从而算出所需要的路费-Taxi meter can record car trips to work out the required toll
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:793038
    • 提供者:琳琳
  1. chuzuche5

    0下载:
  2. 本程序详细的描述了出租车计费器,可直接应用。-This procedure is detailed procedures for the taxi meter can be directly applied.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1267332
    • 提供者:杨剑
  1. eda

    0下载:
  2. 该系统利用VHDL语言、PLD设计出租车计费系统,以MAX+PLUSⅡ软件作为开发平台,设计了出租车计费器系统程序并进行了程序仿真。使其实现计费以及预置和模拟汽车启动、停止、暂停等功能,并动态扫描显示车费数目。-The system uses VHDL language, PLD design taxi billing system to MAX+ PLUS Ⅱ software as a development platform, the taxi meter system was desi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:164952
    • 提供者:OFDM
  1. TAXI

    0下载:
  2. 基于VHDL的出租车计费器,通过VHDL语言来编程实现计费系统的四个功能块:分频模块,控制模块,计量模块和译码显示模块,最后使用MAX+PLUSII软件来对程序进行仿真,以模拟实现出租车的启动,停止以及等待等过程中的计时,计程和计费功能。-Taxi meter based on VHDL, VHDL language programming through the billing system of the four functional blocks: frequency module, co
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:949
    • 提供者:张鹏飞
  1. taxicounter

    0下载:
  2. 出租车计费器能实现计费功能,三位数码管显示。起步费为6元-taxi counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:649694
    • 提供者:zhuming
  1. EDAsheji-chuzuche-jifei

    0下载:
  2. 设计一个出租车计费器,能按路程计费,具体要求如下: (1)实现计费功能,计费标准为:按行驶里程计费,起步价为6.00元,并在汽车行驶2km后按1.2元/km计费,当里程数达到15km后,没千米加收50 的空驶费,车辆停止和暂停时不计费。 (2)现场模拟汽车的启动、停止、暂停和换挡等状态。 (3)设计数码管显示电路,将车费和路程显示出来,各有1位小数。 -Design of a taxi meter, to the journey billing, specific require
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:249462
    • 提供者:wyj
  1. 出租车

    0下载:
  2. 可以实现计费功能,与距离。已经仿真过可以使用(Billing function and distance can be realized.It has been simulated and can be used)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-04
    • 文件大小:242688
    • 提供者:包子2515
« 12 3 4 »
搜珍网 www.dssz.com