CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 数字锁相环

搜索资源列表

  1. 数字锁相环设计源程序

    3下载:
  2. PLL是数字锁相环设计源程序, 其中, Fi是输入频率(接收数据), 数字锁相技术在通信领域应用非常广泛,本例用VHDL描述了一个锁相环作为参考,源码已经调试过。编译器synplicty.Fo(Q5)是本地输出频率. 目的是从输入数据中提取时钟信号(Q5), 其频率与数据速率一致, 时钟上升沿锁定在数据的上升和下降沿上;顶层文件是PLL.GDF-digital phase-locked loop PLL design source, in which Fi is the input freque
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:121399
    • 提供者:杰轩
  1. 数字锁相环

    1下载:
  2. PLL是数字锁相环设计源程序, 其中, Fi是输入频率(接收数据), Fo(Q5)是本地输出频率. 目的是从输入数据中提取时钟信号(Q5), 其频率与数据速率一致, 时钟上升沿锁定在数据的上升和下降沿上;顶层文件是PLL.GDF-digital phase-locked loop PLL design source, in which Fi is the input frequency (receive data), Fo (Q5) is the local output frequency.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:125197
    • 提供者:于洪彪
  1. DPLL(VHDL).rar

    0下载:
  2. 使用VHDL语言进行的数字锁相环的设计,里面有相关的文件,可以使用MUX+PLUS打开,The use of VHDL language of digital phase-locked loop design, there are relevant documents, you can use MUX+ PLUS Open
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:13717
    • 提供者:国家
  1. FPGA-based-design-of-DPLL

    0下载:
  2. 采用VHDL设计的全数字锁相环电路设计,步骤以及一些详细过程介绍。-VHDL design using all-digital PLL circuit design, detailed process steps and some introduction.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:416605
    • 提供者:阿啊
  1. DPLL

    1下载:
  2. 数字锁相环频率合成器的vhdl实现的源代码-Digital PLL Frequency Synthesizer vhdl source code to achieve
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-05
    • 文件大小:540021
    • 提供者:sunnyhp
  1. pll

    2下载:
  2. 收集的数字锁相环设计相关文章多篇.主要采用VHDL语言进行设计.-Collection of digital phase-locked loop design articles related articles. Mainly VHDL design languages.
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-27
    • 文件大小:10079277
    • 提供者:gk
  1. NewWayOfDPLLdesign

    0下载:
  2. 使用VHDL语言进行设计DPLL(数字锁相环)的相关文件-The use of VHDL language design DPLL (digital phase-locked loop) of the relevant documents
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:223350
    • 提供者:国家
  1. DPLL2

    0下载:
  2. 全数字锁相环电路的研制,使用的是VHDL语言 -All-digital phase-locked loop circuit development, using the VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:215830
    • 提供者:国家
  1. FPGA444555443

    0下载:
  2. 基于FPGA的全数字锁相环设计,内有设计过程和设计思想-FPGA-based all-digital phase-locked loop design, with the design process and design thinking
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:287254
    • 提供者:张大明
  1. pll_verilog

    0下载:
  2. 全数字锁相环的verilog源代码,仿真已通过 -All-Digital Phase-Locked Loop verilog source code, simulation has passed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1171
    • 提供者:isaac
  1. PLL

    0下载:
  2. 用VHDL和matlab编写的数字锁相环电路。-Matlab with VHDL and digital phase-locked loop circuit prepared.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:21816
    • 提供者:水淼
  1. VHDLDPLL

    0下载:
  2. 基于VHDL 的全数字锁相环的设计,里面包含了最核心的程序。-VHDL-based all-digital phase-locked loop design, which contains the core procedures.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:167836
    • 提供者:xxx
  1. DPLL

    0下载:
  2. 全数字锁相环的verilog设计,已通过仿真验证能迅速锁定相位-Digital phase loop lock design with verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1286
    • 提供者:yangyanwen
  1. 255

    2下载:
  2. 全数字锁相环的Verilog源代码,经过仿真调试-All-digital PLL Verilog source code, through the simulation to debug
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:154214
    • 提供者:张文
  1. DPLL_verilog_a

    0下载:
  2. 用verilog语言描写设计的全数字锁相环,pDF资料-With the verilog language to describe the design of all-digital phase-locked loop, pDF information
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1308028
    • 提供者:lang
  1. dig_pll

    0下载:
  2. 一个简易的数字锁相环,可以产生一个与输入同频同相的输出时钟-A simple digital PLL can generate an input in phase with the same frequency output clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:21235
    • 提供者:刘伟
  1. AD-PLL

    0下载:
  2. 基于VHDL的全数字锁相环的设计与实现,quartusII的仿真程序。-DPLL based on VHDL Design and Implementation, quartusII the simulation program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:2235
    • 提供者:yzn8625
  1. vhdl3

    0下载:
  2. 介绍一种基于VHDL 语言的全数字锁相环实现方法, 并用这种方法在FPGA 中实现了全 数字锁相环,作为信号解调的位同步模块。-Introduction of a language based on VHDL implementations of DPLL, and this method is implemented in the FPGA digital phase locked loop, as the signal demodulation of bit synchronizatio
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:230401
    • 提供者:枫蓝
  1. mydesign_DPLL

    0下载:
  2. 实现了数字锁相环设计,可以用于信号的时钟提取供本地时钟使用-the design introduced a method to use DPLL,we can get the local clock from the signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:930667
    • 提供者:123456
  1. a-new-digital-PLL

    0下载:
  2. 基于FPGA实现的一种新型数字锁相环设计。该设计是用VHDL来实现的,个人觉得不错,所以传上来和大家分享-FPGA-based implementation of a new digital PLL design. The design is to use VHDL to implement the individual feels good, so come and share transfer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:181407
    • 提供者:recochun
« 12 3 4 5 6 »
搜珍网 www.dssz.com