CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 脉冲

搜索资源列表

  1. 双路脉冲发生器(veralog)

    0下载:
  2. Verilog HDL 程序 双路脉冲发生器的代码 包含了键盘控制,LED显示,脉冲发生,脉冲频率测量模块 是我自己写得,希望能对你有帮助,有问题可以mail:shaojunwu1@163.com-Verilog HDL dual-channel pulse generator procedure code includes a keyboard control, LED display, pulse, pulse frequency measurement module is wr
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4210
    • 提供者:邵君武
  1. 脉冲记时CPLD

    0下载:
  2. 工作原理: 脉冲输入,记录30个脉冲的间隔时间(总时间),LED显示出来,牵涉到数码管的轮流点亮,以及LED的码。输入端口一定要用个 74LS14整一下,图上没有。数码管使用共阴数码管。MAXPLUS编译。 测试时将光电门的信号端一块连接到J2口的第三管脚,同时第一管脚为地,应该与光电门的地连接(共地)。 开始测试: 按下按键,应该可以见到LED被点亮,指示可以开始转动转动惯量盘,等遮光片遮挡30次光电门后, LED熄灭,数码管有数字显示,此为时间值,单位为秒,与智
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:644978
    • 提供者:高颖峰
  1. 脉冲检测程序利用

    1下载:
  2. msp430 脉冲检测程序利用TIMERB的CCI1B检测脉冲个数及频率
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2014-01-17
    • 文件大小:519
    • 提供者:冯瑶
  1. 基于XS128的编码器脉冲测速程序

    0下载:
  2. 基于XS128的编码器脉冲测速程序 ,能够准确获取编码器的输出 从而达到测速的功能,XS128-based encoder pulse velocity program, be able to accurately obtain the output of the encoder to achieve the speed function
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2016-01-25
    • 文件大小:395569
    • 提供者:编程小鸟
  1. zhuanpan.rar

    1下载:
  2. 增量式光电编码器输出四分频脉冲计数,分别为A,B两路信号,Incremental optical encoder pulse count output frequency of a quarter, namely A, B two-way signal
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-05
    • 文件大小:772
    • 提供者:方培潘
  1. maichong

    0下载:
  2. 这是我在ISP编程课上独立编写的一个采用模块化+行为描述方式实现的可控脉冲发生器。程序有四个并行模块:减数器&控制模块(用于设置发生脉冲数量并记数,同时产生控制信号)、脉冲发生模块(用于接受控制信号并产生脉冲输出)、脉冲接收模块(用于接收脉冲输出并记录脉冲个数)、显示模块(将接受模块记录到的脉冲总数显示到数码管上)。此程序是我从画逻辑结构图开始一步步独立开发的,并没有参考或仿照网络上的其他脉冲发生器源码,因此与网络上任何其他可控脉冲发生器的源码决无雷同。-err
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:69468
    • 提供者:daisichong
  1. temperature

    1下载:
  2. 此设计以单片机STC89C51为核心,由声音传感器采集脉搏信号,经过LM324前置放大电路、滤波电路和比较电路后得到与脉搏相关的脉冲信号,将该脉冲信号作为定时/计数器T1中断信号交由单片机进行脉冲周期的计算,T0做定时器。然后得出每分钟的脉搏搏动次数(即心率),并将结果1602LCD上显示心率。在对人体脉搏检测时,具有检错排错的功能。若出现误操作(如不小心移动时产生的噪声)造成检测到的心跳次数不正确的结果,所以在程序中检测时间到达第5秒时,先对其进行计算,若结果超出正常范围则自动返回重新检测,直
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2014-04-21
    • 文件大小:1298
    • 提供者:郑雄
  1. xinhaofashengqi

    0下载:
  2. 利用VHDL语言实现的多种波形信号包括方波、正弦波、脉冲信号的波形发生器-xinhaofashengqi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:4035276
    • 提供者:前沿部
  1. maichong2

    0下载:
  2. 长度可以控制的脉冲发生器,实际使用过,VHDL编写,放心下载-pulse generator,good choice.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:922
    • 提供者:吴次仁
  1. FPGA_Clk

    0下载:
  2. 基于Cyclone EP1C6240C8 FPGA的时钟产生模块。主要用于为FPGA系统其他模块产生时钟信号。采用verilog编写。 使用计时器的方式产生时钟波形。 提供对于FPGA时钟的偶数分频、奇数分频、始终脉冲宽度等功能。-Based on Cyclone EP1C6240C8 FPGA' s clock generator module. Is mainly used for the FPGA system clock signal generated in other
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1465971
    • 提供者:icemoon1987
  1. motor-s

    2下载:
  2. 步进电机加减速计算 说明输入步进电机的加减脉冲步数,就可计算出电机加减速的静态表(16进制输出)-motor s type download
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:165140
    • 提供者:routty
  1. programmablpulsegenerator

    0下载:
  2. 用VHDL编译的源代码,可编程脉冲生成器,解压后直接用Quartus打开project即可-Compiled with VHDL source code, programmable pulse generator, after extracting the direct use of Quartus can open the project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:13673
    • 提供者:xie
  1. COUNTER

    0下载:
  2. 对外部输入的高频脉冲信号进行分频,应用于FPGA/CPLD .-External input of high-frequency pulse signal frequency, applies to FPGA/CPLD.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:953
    • 提供者:fsdfe
  1. PWM实现精确输出脉冲数控制电机

    0下载:
  2. PWM实现精确输出脉冲数控制电机, PWM realize accurate output pulse number control motor(PWM realize accurate output pulse number control motor)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-19
    • 文件大小:1026048
    • 提供者:云夏
  1. 精确控制脉冲个数

    0下载:
  2. 在STM32上,通过C语言编写程序实现精确控制脉冲个数(On the STM32, the program is programmed by C language to precisely control the number of pulses)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-28
    • 文件大小:6403072
    • 提供者:绅士.Liu
  1. 脉冲信号测量 程序

    0下载:
  2. 脉冲信号测量 程序,方便用于初学者开发学习(Pulse signal measurement program for beginners development and learning)
  3. 所属分类:单片机开发

  1. 时序脉冲发生器

    0下载:
  2. 本程序由AVR ATmega16单片机运行实现以下功能,触发时间范围100ms~5min,触发周期10ms~50min,触发通道1~12通道任意可调,广泛运用在工业脉冲阀控制器中,能够全面运用在各类脉冲控制场合。(This program is run by AVR ATmega16 microcontroller to achieve the following functions, trigger time range of 100ms~5min, trigger cycle 10ms~50
  3. 所属分类:单片机开发

    • 发布日期:2018-01-05
    • 文件大小:55296
    • 提供者:老茂
  1. stm32F1步进电机PWM脉冲控制

    4下载:
  2. stm32定时中断PWM输出指定脉冲控制步进电机角度(STM32 interrupts PWM output regularly, specifying pulse to control stepper motor angle.)
  3. 所属分类:单片机开发

    • 发布日期:2018-05-02
    • 文件大小:1849344
    • 提供者:大胆ty
  1. 30x输入任意数量脉冲

    3下载:
  2. GD32F303系列输出任意数量脉冲,频率数量都可控(GD32F303 series output any number of pulses, the frequency and quantity can be controlled.)
  3. 所属分类:单片机开发

    • 发布日期:2020-05-15
    • 文件大小:512000
    • 提供者:Denvice_chen
  1. 基于FPGA的多路同步脉冲发生器设计1

    0下载:
  2. 采用FPGA(现场可编程门序列)编写VHDL语言设计多路同步脉冲发生器,对信号进行分频处理,实现四路信号相位相差T/16和T/8的延迟相位输出,实现的四路脉冲与传统的脉冲同步器不同,它具有高集成度,高通用性,容易调整和高可靠性等特点。(Using FPGA (field programmable gate sequence) to write VHDL language to design multi-channel synchronous pulse generator, to divide
  3. 所属分类:VHDL/FPGA/Verilog

« 12 3 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com