CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 高斯噪声

搜索资源列表

  1. AdaptiveLMS

    0下载:
  2. 用自适应数字滤波器进行谱线增强,噪声信号是均值为零,方差为1的高斯白噪声
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:1069
    • 提供者:清风
  1. DSP2

    0下载:
  2. DSB-SC信号的生成与解调 1) 用离散(DSP)的方法生成DSB信号 2) 载波频率为150KHz,音频为500Hz和2000Hz的混合音。 3) 加入高斯白噪声 (4) 语音信号 的传输。 改变抽样频率和量化台阶大小,观察重建信号以及量化噪声信号的波形;对于语音信号主观评价声音质量的变化。 -DSB-SC signal generation and demodulation 1) Discrete (DSP) methods to generate
  3. 所属分类:DSP program

    • 发布日期:2017-03-30
    • 文件大小:28961
    • 提供者:Ryan
  1. fangz

    1下载:
  2. 数字通信系统的仿真,包括调制解调,上下变频,加入了高斯白噪声,并且每个步骤都生成相应的图形-Digital communication system simulation, including the modulation and demodulation, the upper and lower frequency, by adding Gaussian white noise, and each step generates the appropriate graphics
  3. 所属分类:DSP program

    • 发布日期:2017-02-08
    • 文件大小:34577
    • 提供者:maominchao
  1. random_include

    1下载:
  2. 基于DSP的随机数生成头文件 调用mm函数后输入number即可得到相应长度的随机数,使用的是线性同余法,已经在CCS上调试通过并经过TMS320C6416实际跑程序验证,在生成高斯白噪声和保密码等领域都有一定用处,希望有所帮助-a include text file of random number generator based on DSP
  3. 所属分类:DSP program

    • 发布日期:2017-03-28
    • 文件大小:935
    • 提供者:liuzhen
  1. whitenoise

    2下载:
  2. 信噪比可变的加性高斯白噪声信道下信号发生器的VHDL语言编程实现-the realization of data-creater on AWGN channel
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-22
    • 文件大小:69670
    • 提供者:由佳彬
  1. awgn

    3下载:
  2. 高斯白噪声的VHDL实现。伪随机序列只能输出均匀噪声,需要打乱相关性。-awgn in vhdl
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-18
    • 文件大小:1298
    • 提供者:terry.ding
  1. gaosizaosheng

    1下载:
  2. 高斯白噪声的FPGA实现文档,讲解的比较全面。-FPGA Implementation of Gaussian white noise documents, a more comprehensive explanation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-02-08
    • 文件大小:162816
    • 提供者:薛辉
  1. GM00

    0下载:
  2. 属于高斯算法的顶层文件,包含了很多的模块,以及噪声的消除等,把算法的流程很清楚的列出来-Gaussian algorithm for top-level file contains a lot of modules, as well as noise cancellation, the process of the algorithm clearly listed..
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:9898
    • 提供者:luogui
  1. qpsk_AWGN

    0下载:
  2. QPSK在AWGN信道下仿真,主要描述的是其在高斯白噪声背景下的误码性能的仿真-APSK simulink in AWGN chanel
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-06
    • 文件大小:1229
    • 提供者:marlon
  1. 234

    0下载:
  2. 用c语言编写的高斯白噪声程序,可以改变噪声幅度,方便将来进行信噪比计算。里面更有更有很多高斯白噪声的头文件和库文件。-C language Gaussian white noise process, you can change the noise amplitude noise ratio calculated to facilitate future. There are also many more white Gaussian noise header files and library
  3. 所属分类:DSP program

    • 发布日期:2017-04-11
    • 文件大小:1158
    • 提供者:王赞
  1. gwnseq

    1下载:
  2. verilog产生高斯白噪声,gwn_en信号产生使能,gdata是幅度服从高斯分布,功率谱密度为定值的高斯白噪声序列,共10位(现实中只能够做到带限,跟dac输出带宽有关,我的系统只能做到300kHz)-verilog Gaussian white noise, gwn_en signal enabled, gdata amplitude Gaussian distribution, power spectral density of white Gaussian noise sequence
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-08-16
    • 文件大小:1024
    • 提供者:陈崇毅
  1. costas

    0下载:
  2. 是有关QPSK的c编写。包含高斯噪声等#include <iostream> #include <fstream> #include <cmath> #include <ctime> #include <cstdlib>-C is related to the preparation of QPSK. Includes Gaussian noise
  3. 所属分类:DSP program

    • 发布日期:2017-04-14
    • 文件大小:2706
    • 提供者:刘宇
  1. Lab-9

    0下载:
  2. 这是基于DSP的给图像添加高斯噪声和椒盐噪声,并显示图像效果的CCS工程源码-This is added to the image based on DSP and salt and pepper noise, Gaussian noise source CCS project
  3. 所属分类:DSP program

    • 发布日期:2017-04-17
    • 文件大小:214244
    • 提供者:杜小文
  1. code

    0下载:
  2. 某数据传输系统,试图利用300-3400Hz的话音通 道进行载波传输,波形信道为加性高斯白噪声信道。 –采用线性传输,收发两端拟采用滚降系数0.5的根 号升余弦滤波,以解决采样点失真问题。 –以下仿真采用无记忆采样信道模型,其中受器件限 制,复基带采样点平均功率受限为1,复基带采样 点噪声功率为可调参量-A data transmission system, trying to use 300-3400Hz voice channel for carrier transmission, wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:9739
    • 提供者:王先生
  1. gaussian-white-noise

    0下载:
  2. 关于产生普通高斯白噪声和帯限高斯白噪声的方法-About the general gaussian white noise and limit method of gaussian white noise
  3. 所属分类:HardWare Design

    • 发布日期:2017-05-04
    • 文件大小:327965
    • 提供者:王志雄
  1. AWGN_VerilogDesign-master

    3下载:
  2. 加性高斯白噪声生成的VERILOG实现,包含所有的testbench文件。可直接使用-Additive white gaussian noise generated VERILOG realized, including all testbench files. Can be used directly
  3. 所属分类:VHDL-FPGA-Verilog

  1. deocde

    0下载:
  2. 产生一个按键按下对应的信号,加入一定的高斯白噪声,并解码检测出来。设计GUI界面模拟按键界面。(GUI MATLAB code for gui.fig % GUI, by itself, creates a new GUI or raises the existing % singleton*. % % H = GUI returns the handle to a new GUI or the handle to % the existing
  3. 所属分类:DSP编程

    • 发布日期:2018-01-06
    • 文件大小:3072
    • 提供者:evrin
搜珍网 www.dssz.com