CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - bpsk

搜索资源列表

  1. BPSK

    4下载:
  2. 八相移键控调制的Verilog程序,给出了各个子模块的程序,实现了信号调制。-Eight-phase shift keying modulation of the Verilog program, each module is given the procedures, the signal modulation.
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-06
    • 文件大小:40809
    • 提供者:徐向斌
  1. bpsk

    0下载:
  2. 基于bpsk的vhdl语言编程与性能仿真-Based on the vhdl language bpsk programming and performance simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:205435
    • 提供者:matt
  1. gardner

    0下载:
  2. 用Gardner算法时钟同步的BPSK的源程序-Gardner algorithm for clock synchronization with the source code BPSK
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-30
    • 文件大小:1058
    • 提供者:戴十三
  1. singnal

    0下载:
  2. VHDL实现通用通信信号源,包括sin,cos,方波,三角波,BPSK,GMSK,ASK,16QAM等信号的产生以及DDS,PLL的VHDL系统代码-VHDL implementation of universal communication sources, including sin, cos, square, triangle, BPSK, GMSK, ASK, 16QAM and other signal generation and DDS, PLL system, the VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1417
    • 提供者:张泽端
  1. communicationg_systerm_Sinmulink

    0下载:
  2. 关于通信基本原理的仿真,包含:BPSK,MIMO,QPSK等,程序绝对可用!-On the basic principles of communication simulation, including: BPSK, MIMO, QPSK, etc., the program can be used absolutely!
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-23
    • 文件大小:8481
    • 提供者:王彦光
  1. bpsk

    0下载:
  2. 可以直接用在DSP1.0或2.0芯片上,进行BPSK信号码速率估计,经实际通信信道测试通过-Can be directly used in DSP1.0 or 2.0 chip, the letter number BPSK rate estimated by the actual communication channel test
  3. 所属分类:DSP program

    • 发布日期:2017-04-05
    • 文件大小:2011
    • 提供者:王立
  1. 1B1

    0下载:
  2. 基于VHDL可编程BPSk调制教学~~~十分好用`~容易学会-VHDL-based programmable BPSk modulation of teaching is very good ~ ~ ~ `~ easy to learn to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:705711
    • 提供者:dengaoni
  1. tp04_BPSK

    2下载:
  2. BPSK MODULATION SYSTEM WITH CCS 3.3 SIMULATOR DSP
  3. 所属分类:DSP编程

    • 发布日期:2014-03-08
    • 文件大小:17156
    • 提供者:CR07
  1. 50846288C

    0下载:
  2. verilog 硬件编程实现bpsk调制-verilog hardware, programming bpsk Modulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:50884
    • 提供者:凡要林
  1. cpsk

    1下载:
  2. 用VHDL硬件语言对BPSK调制解调系统进行编写,仿真通过,源代码-VHDL hardware language using BPSK modulation and demodulation system, the preparation, simulation adopted, the source code
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-14
    • 文件大小:68644
    • 提供者:王岩嵩
  1. bpsk

    0下载:
  2. this a starter program for BPSK coding,,, implemented on DSK c6713.. go and enjoy the lovely BPSK of your sweet voice-this a starter program for BPSK coding,,, implemented on DSK c6713.. go and enjoy the lovely BPSK of your sweet voice....
  3. 所属分类:DSP program

    • 发布日期:2017-03-28
    • 文件大小:544
    • 提供者:HASSU
  1. bpsk

    0下载:
  2. 基于FPGA的BPSK数字调制器的实现,对于学习通信专业的人应该有些帮助-FPGA-Based Digital Modulator BPSK, for people to learn communication professional should be some help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:432035
    • 提供者:李博
  1. bpsk_sin

    0下载:
  2. Program to Generate a BPSK signal in VHDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1741
    • 提供者:Avinash
  1. BPSK_Modulator

    1下载:
  2. IMPLEMENTATION OF BPSK MODULATOR IN FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:412861
    • 提供者:hung
  1. bpsk_fpga

    1下载:
  2. 在FPGA上实现BPSK信号的解调,全部用VHDL语言编写,非常实用。-Implemented on the FPGA BPSK signal demodulation, all with the VHDL language, very useful.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:387964
    • 提供者:jiaojian
  1. BPSK

    1下载:
  2. 用于BPSK调制的自行设计,说明如下: 1.matlab.txt中的程序是matlab平台下的.mat格式。目的是输出一个64*4的矩阵,矩阵的每个元素都为0~255间的整数。矩阵每行的四个数是一个码元的四个抽样点的量化值。但由于当前码元通过升余弦滤波系统时,受到前后共6个码元的共同影响,所以是由6个码元共同决定。这6个码元是随机的,可能是0也可能是1(双极性时可能是-1也可能是+1),故6个码元共2^6=64种情况,所以产生的矩阵是64*4。最后逐行输出这256个数。 2.
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-06
    • 文件大小:4382
    • 提供者:
  1. BPSK

    0下载:
  2. 在quartus ii下完成的用VHDL语言编写的数字式调频BPSK的调制,其中DDS和成型滤波使用ip核完成-Accomplished in quartus ii the use of VHDL language digital FM BPSK modulation, which use the ip filter DDS and forming complete nuclear
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:326850
    • 提供者:
  1. BPSK

    0下载:
  2. BPSK信号的载波调制,包含成型滤波器,上采用器以及载波生成器。(This file provides a transmitter based on BPSK signal, including shaping filter, upsampler and carrier generator.)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-20
    • 文件大小:12921856
    • 提供者:wangke
  1. BPSK

    0下载:
  2. MATLAB仿真 BPSK 调制解调 接收机 信号波形产生发射机 通信(MATLAB simulation, BPSK modulation and demodulation, receiver signal waveform, transmitter communication)
  3. 所属分类:单片机开发

  1. BPSK ASIC

    1下载:
  2. BPSK demodulator ASIC design with Toshiba 45nm lib in verilog for EE 287 Spring 2013 This is the class project for EE 287 SPring 2013
  3. 所属分类:嵌入式/单片机编程

« 12 3 4 »
搜珍网 www.dssz.com