CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 计数器

搜索资源列表

  1. 电子拔河

    0下载:
  2. 电子拔河游戏的实现, 二极管,移位寄存器和计数器的实现-electronic game of tug-of-war to achieve, diodes, shift register and counter the realization
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:21940
    • 提供者:jojo
  1. 自动售货机VHDL程序与仿真

    0下载:
  2. library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买
  3. 所属分类:文档资料

  1. LoadRunner压力测试实例.rar

    0下载:
  2. 本文通过实例讲解介绍了LoadRunner 工具的使用,介于公司的实际情况,文中主要是对工具的基本使用做了详细描述,高级运用方面除性能计数器与参数设置外其它均未涉及,待以后补充。目的是使公司人员根据该手册便可以独立运用Loadrunner进行压力测试
  3. 所属分类:技术管理

    • 发布日期:2009-04-09
    • 文件大小:691107
    • 提供者:kj108@163.com
  1. 给初学单片机的40个实验

    0下载:
  2. 1. 闪烁灯 2. 模拟开关灯 3. 多路开关状态指示 4. 广告灯的左移右移 6. 报警产生器 10. 00-99计数器 11. 00-59秒计时器(利用软件延时) 4×4键盘及8位数码管显示构成的电子密码锁 DS18B20数字温度计使用
  3. 所属分类:文档资料

  1. C8051F中文资料

    0下载:
  2. C8051F340/1/2/3/4/5/6/7 系列器件使用Silicon Labs的专利CIP-51微控制器内核。CIP-51与MCS-51TM指令集完全兼容,可以使用标准 803x/805x的汇编器和编译器进行软件开发。CIP-51内核具有标准 8052 的所有外设部件,包括 4 个 16 位计数器/定时器、两个具有扩展波特率配置的全双工UART、一个增强型SPI端口、多达 4352 字节的内部RAM、128字节特殊功能寄存器(SFR)地址空间及多达 40 个I/O引脚。
  3. 所属分类:文档资料

    • 发布日期:2010-04-28
    • 文件大小:2585088
    • 提供者:ysl868@126.com
  1. 6位数显频率计数器

    0下载:
  2. 很好的资料
  3. 所属分类:文档资料

    • 发布日期:2010-06-06
    • 文件大小:36864
    • 提供者:zzfeng
  1. 4选1数据选择器设计

    0下载:
  2. 3-8译码器设计 4选1数据选择器设计 4位比较器设计 七人表决器设计 计数器设计 交通灯信号控制器设计,3-8 Decoder 4 election to choose a data compared Design 4 Design Design a vote of seven traffic lights signal counter design controller design
  3. 所属分类:软件工程

    • 发布日期:2017-03-22
    • 文件大小:233859
    • 提供者:宋立泉
  1. HS4095Demo.rar

    1下载:
  2. HSDB4095 RFID 开发板是基于Winbond 单片机W78E365 和EM 可读写模拟前端125K RFID 基站芯片EM4095 的一个RFID 卡的开发板配合上位机软件,可读只读ID 卡(EM4100,EM4102或其兼容卡),可读写EM4469 等EM 低频卡。包括底层源代码,用户可以对源代码进行移植、修改,使用等。用户参照此开发源码可以很快开发出自己的RFID 产品。配合相应的底层软件可读写所有125K 的低频卡,包括EM 125K RFID 和T5557 等 W78E36
  3. 所属分类:Document

    • 发布日期:2014-11-06
    • 文件大小:114355
    • 提供者:ccg
  1. stx_cookbook.zip

    1下载:
  2. Altera公司高端FPGA高级综合指导手册,包括:算术运算单元,浮点处理技巧,数据编码格式转换,视频处理,仲裁逻辑,多路选择,存储逻辑,计数器,通信逻辑,循环冗余校验,随机和伪随机函数,加密和同步等编码风格和技巧;,advanced synthesis cookbook for Altera high-end FPGA(Stratix),incuding coding style and design tricks for arithmetic,floating points oper
  3. 所属分类:software engineering

    • 发布日期:2017-03-27
    • 文件大小:962193
    • 提供者:刘易
  1. F2812WD

    0下载:
  2. F2812的看门狗总结 这是我总结的F2812的看门狗功能使用! 看门狗,又叫 watchdog timer,是一个定时器电路, 一般有一个输入,叫喂狗,一个输出到MCU的RST端,MCU正常工作的时候,每隔一端时间输出一个信号到喂狗端,给 WDT 清零,如果超过规定的时间不喂狗,(一般在程序跑飞时),WDT 定时超过,就回给出一个复位信号到MCU,是MCU复位. 防止MCU死机. 看门狗的作用就是防止程序发生死循环,或者说程序跑飞。 工作原理:在系统运行以后也就启动了看门狗的计数器
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:945
    • 提供者:bonwenli
  1. counter-

    0下载:
  2. 用AT89S51单片机的T0、T1的定时计数器功能,来完成对输入的信号进行频率计数,计数的频率结果通过8位动态数码管显示出来。要求能够对0-250KHZ的信号频率进行准确计数,计数误差不超过±1HZ。-A single chip with AT89S51 T0, T1 timing counter function, to complete the input signal frequency counting, counting the frequency of 8 resulted in t
  3. 所属分类:software engineering

    • 发布日期:2017-03-28
    • 文件大小:14083
    • 提供者:笑笑
  1. 数字电路课程设计

    0下载:
  2. 设计任务与要求 设计并完成可预知的定时显示报警系统。具体要求如下: (1)设计一个可灵活预置时间的计时电路,要求具有时间显示的功能,能准确地预置和清零。 (2)设置外部操作开关,控制计时器的直接清零、启动和暂停/连续计时。 (3)要求计时电路递减计时,每隔一秒,计数器减1。 (4)当计时器递减计时到零(即定时时间到)时,显示器上显示00,同时发出光电报警信号。
  3. 所属分类:报告论文

    • 发布日期:2012-09-11
    • 文件大小:732672
    • 提供者:anranxiaohun
  1. pld MegaWizard Plug-In Manager

    1下载:
  2. 利用QuartusII的"MegaWizard Plug-In Manager", 设计输入数据宽度是4bit的ADD、SUB、MULT、DIVIDE、COMPARE 把它们作为一个project,DEVICE选用EPF10K70RC240-4,对它们进行 时序仿真,将仿真波形(输入输出选用group)在一页纸上打印出来。 2.利用QuartusII的"MegaWizard Plug-In Manager"中的LPM_
  3. 所属分类:软件工程

    • 发布日期:2016-01-24
    • 文件大小:32214
    • 提供者:李侠
  1. STC12C5A60S2.pdf

    3下载:
  2. STC12C5A60S2/AD/PWM系列单片机是宏晶科技生产的单时钟/机器周期(1T)的单片机,是高速/低功耗/超强抗干扰的新一代8051单片机,指令代码完全兼容传统8051,但速度快8-12倍。内部集成MAX810专用复位电路,2路PWM,8路高速10位A/D转换(250K/S),针对电机控制,强干扰场合。 1.增强型8051 CPU,1T,单时钟/机器周期,指令代码完全兼容传统8051; 2.工作电压:STC12C5A60S2系列工作电压:5.5V-3.3V(5V单片机)STC12LE5A
  3. 所属分类:编程文档

    • 发布日期:2012-10-18
    • 文件大小:1967339
    • 提供者:howard_dai
  1. 74161

    0下载:
  2. 计数器74161功能测试电路状态机程序。该程序是功能测试电路的核心。-Counter 74161 functional test circuit state machine procedures. The program is the core of functional test circuit.
  3. 所属分类:software engineering

    • 发布日期:2017-04-09
    • 文件大小:950
    • 提供者:左猛
  1. EDA

    0下载:
  2. 60进制计数器 序列检测器 适用于MAX PLUS2程序开发-60 hexadecimal counter sequence detector for MAX PLUS2 development
  3. 所属分类:Document

    • 发布日期:2017-04-12
    • 文件大小:995
    • 提供者:cross
  1. AT89C51

    0下载:
  2. 随着微电子技术的不断发展,微处理器芯片的集成程度越来越高,单片机已可以在一块芯片上同时集成CPU、存储器、定时器/计数器、并行和串行接口、看门狗、前置放大器、A/D转换器、D/A转换器等多种电路,这就很容易将计算机技术与测量控制技术结合,组成智能化测量控制系统。这种技术促使机器人技术也有了突飞猛进的发展,目前人们已经完全可以设计并制造出具有某些特殊功能的简易智能机器人。-With the continuous development of microelectronic technology,
  3. 所属分类:File Formats

    • 发布日期:2017-04-17
    • 文件大小:65175
    • 提供者:林飞
  1. timer

    0下载:
  2. MCS-51系列单片机内部定时/计数器的应用 帮你掌握定时/计数器的原理-timer
  3. 所属分类:Document

    • 发布日期:2017-04-27
    • 文件大小:169987
    • 提供者:cheng
  1. devider_design

    0下载:
  2. Abstract循序电路第一个应用是拿来做计数器((笔记) 如何设计计数器? (SOC) (Verilog) (MegaCore)),有了计数器的基础后,就可以拿计数器来设计除频器,最后希望能做出能除N的万用除频器。-Abstract The first application of sequential circuits are used to make counter ((notes) How to design a counter? (SOC) (Verilog) (MegaCore)),
  3. 所属分类:File Formats

    • 发布日期:2017-03-29
    • 文件大小:39651
    • 提供者:王媛媛
  1. shuzipinluji

    0下载:
  2. 数字频率计的设计可以分为测量计数和显示。其测量的基本原理是计算一定时间内待测信号的脉冲个数,这就要求由分频器产生标准闸门时间信号,计数器记录脉冲个数,由控制器对闸门信号进行选择,并对计数器使能断进行同步控制。控制器根据闸门信号确定最佳量程。-The design of digital frequency meter can be divided into measurement and display count. The basic principle of its measurement i
  3. 所属分类:Project Design

    • 发布日期:2017-04-26
    • 文件大小:54008
    • 提供者:黄花
« 1 23 4 5 6 7 8 9 »
搜珍网 www.dssz.com