CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 计数器

搜索资源列表

  1. 可预置的8位计数器源程序

    0下载:
  2. 可预置的8位计数器程序的主要部分分析 #include <AT89X51.H> //器件配置文件 #define uchar unsigned char //变量类型的宏定义 #define uint unsigned int uchar code SEG7[10]={0x03,0x9f,0x25,0x0d,0x99, //0~9的数码管段码 0x49,0x41,0x1f,0x01,0x09} uchar data cnt[8] //在da
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:21028
    • 提供者:wyq
  1. 乘风多用户计数器

    0下载:
  2. 这是一个用ASP编制而成的网业计数器,它具有一个很大优势:能够和网业分离,实现远程 调用,又可以嵌入到需要统计在线人数的网页来实现在线人数统计功能-This is an ASP compiled from the network industry counters, it has an enormous advantage : and to divide the network, remote call, Also needs to be embedded in online statist
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:434836
    • 提供者:hsf
  1. 16BITCOUNTER

    0下载:
  2. 自己作课题用到的16位计数器,已经过仿真试验,具有较好的计数准确性。-their subjects used for the 16 counters, has been through the simulation tests, has better accuracy of the counting.
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:2782
    • 提供者:小令
  1. VerilogHDLshejifengpingqihe32weijishuqi

    0下载:
  2. 本文件介绍的是用VerilogHDL语言设计分频器和32位计数器.-This paper presents the design using Verilog HDL language Frequency Divider and 32 counters.
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:159000
    • 提供者:少华
  1. VHDLKEYBOARD

    0下载:
  2. 此模块用 VHDL 硬件描述语言来实现,对键盘设计的实际操作检验表明,此模块响应迅速、识别准确,较好地实现了键盘扫描和去抖动功能, 达到了预期的设计目的。同时,将状态机、扫描线、计数器等相关参数稍作改动,就可以扩展到实现不同键盘矩阵的设计-VHDL hardware descr iption language to achieve the keyboard design of the actual operation of testing show that This module in res
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:62968
    • 提供者:章菁
  1. hhhhhhhhhhjjjjjjjjjjjjjjjjjjjjj

    0下载:
  2. 其中本次课设利用S51芯片中的定时计数器T0输出相应的方波来产生不同的音阶,制作一个简易电子琴,同时实现音乐播放功能。-which this course set up using the S51 chip Arithmometer T0 square wave output corresponding to the different sound bands, a simple flower production, while achieving functional music player
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:241379
    • 提供者:秦光
  1. 16064315416

    0下载:
  2. 定时计数器主要需要8253来进行定时计数功能的实现
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:2588576
    • 提供者:张逍遥
  1. AN-004

    0下载:
  2. 适用于EM78系列的自动日期计数器,网络转载
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:216067
    • 提供者:pusa
  1. design

    0下载:
  2. 本文介绍了采用VB语言编程,利用CAN现场总线技术以及华控RSM智能模块搭建分布式监控系统的逻辑结构,采用CAN2.0通讯协议实现上位机与模块的数据通信。详细介绍了RSM04隔离型脉冲输入计数器模块与上位计算机的连接与通讯实现。在实验过程中模拟了信号发生源,其发出的信号经模块采集后送至上位机,执行计数值程序后,可以读取计数结果。
  3. 所属分类:软件工程

    • 发布日期:2014-01-16
    • 文件大小:65848
    • 提供者:李婷
  1. 2

    0下载:
  2. 8位计数器,可逆,可加可减,可以以时钟输入也可手动输入
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:197025
    • 提供者:潘学慧
  1. vhdl

    0下载:
  2. VHDL是Very High Speed Integrated Circuit Hardware Descr iption Language的缩写, 意思是超高速集成电路硬件描述语言。对于复杂的数字系统的设计,它有独特的作用。它的硬件描述能力强,能轻易的描述出硬件的结构和功能。这种语言的应用至少意味着两种重大的改变:电路的设计竟然可以通过文字描述的方式完成;电子电路可以当作文件一样来存储。随着现代技术的发展,这种语言的效益与作用日益明显,每年均能够以超过30%的速度快速成长。 这次毕
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:473740
    • 提供者:造型
  1. shuzhizhong_kechengsheji

    0下载:
  2. 中南大学数字电子技术课程设计--数字钟的设计 一.设计目的 1. 进一步掌握各芯片的逻辑功能及使用方法。 2. 进一步掌握数字钟的设计方法和和计数器相互级联的方法。 3. 进一步掌握数字系统的设计和数字系统功能的测试方法。 4. 进一步掌握数字系统的制作和布线方法。 二.设计要求 1.设计指标  数字钟具有显示时、分、秒的功能;  有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;  计时过程具有
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:160203
    • 提供者:thocr
  1. report

    0下载:
  2. 本实验报告包含计数器、交通灯等微机接口实验的完整程序
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:109273
    • 提供者:xiaohui
  1. dianzishizhong

    0下载:
  2. 题目:电子时钟的设计 一、实验目的: 1. 掌握多位计数器相连的设计方法。 2. 掌握十进制、六十进制、二十四进制计数器的设计方法。 3. 继续巩固多位数码管的驱动及编码。 4. 掌握扬声器的驱动 5. 掌握EPLD技术的层次化设计方法 二、实验要求: 1.用时、分、秒计数显示功能,以24小时循环计时。 2.具用清零,调节小时、分钟功能。 3.具用整点报时功能。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:167964
    • 提供者:li
  1. 实验报告C

    0下载:
  2. 1.模拟并发进程共享的临界资源m1、m2,它联系着两个信号量sem1、sem2. 2.模拟并发进程的运行现场:通用寄存器i,程序计数器addr. 3.模拟三个并发进程.-1. Simulation of the process of sharing with the critical resources m1 and m2, it is tied to the volume of two signals sem1, sem2. 2. Simulation of the process of ru
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:11894
    • 提供者:马芳萍
  1. 0

    0下载:
  2. 我行我素计数器 v1.0本程序由“资源搜罗站”制作和提供,制作的不错,不仅有普通的记数功能,而且还带有统计分析功能。具体功能如下: 1,统计分为天,时间段,月,星期。 2,可记录访问者的系统信息。 3,查看最近访问者的IP地址、系统信息等等。-please Counter v1.0 of the procedures "resources collected station" production and delivery of good production, not on
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:95237
    • 提供者:黄均强
  1. yaya

    0下载:
  2. 这是我们最近的课业设计,是关于计数器统计原代码的统计,具有一定的价值-This is our recent homework is designed to counter statistics on the original code statistics, which have a certain value
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:3562
    • 提供者:井亚
  1. VHDL设计的相关实验,包括4位可逆计数器

    0下载:
  2. VHDL设计的相关实验,包括4位可逆计数器,4位可逆二进制代码-格雷码转换器设计、序列检测器的设计、基于ROM的正弦波发生器的设计、数字密码锁的设计与实现。-VHDL design of experiments, including four reversible counters, four reversible binary code- Gray code converter design, the sequence detector design, the ROM-based sine w
  3. 所属分类:软件工程

    • 发布日期:2016-01-27
    • 文件大小:49152
    • 提供者:张联合
  1. VHDL

    0下载:
  2. eda课程,包括数码管显示,可变步长计数器的编写-eda courses, including digital display, variable-step preparation of counter
  3. 所属分类:File Formats

    • 发布日期:2017-04-27
    • 文件大小:384731
    • 提供者:lxc
  1. EDA

    0下载:
  2. 含计数使能、异步复位和计数值并行预置功能4位加法计数器-EDA Electronics Design Automation
  3. 所属分类:Document

    • 发布日期:2017-03-25
    • 文件大小:276415
    • 提供者:
« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com