CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 计数器

搜索资源列表

  1. 8051MCUcount0-99

    0下载:
  2. 8051单片机计数器0-99程序 -8051单片机计数器0-99程序8051单片机计数器0-99程序
  3. 所属分类:software engineering

    • 发布日期:2017-04-05
    • 文件大小:12995
    • 提供者:郭华兴
  1. counter

    0下载:
  2. 计数器,可以重复记录计算数字。二进制计数。有重复。-Counter
  3. 所属分类:software engineering

    • 发布日期:2017-04-07
    • 文件大小:694
    • 提供者:xu
  1. 8WEIADD

    0下载:
  2. 运用PIC16F877A设计单键触发8位二进制累加计数器汇编程序-USE PIC16F877A TO ADD
  3. 所属分类:software engineering

    • 发布日期:2017-04-09
    • 文件大小:951
    • 提供者:woai
  1. jiankongadd

    0下载:
  2. 运用PIC16F877A静态数码管键控计数器实例-USE PIC16F877A DISPLAY
  3. 所属分类:software engineering

    • 发布日期:2017-04-04
    • 文件大小:1228
    • 提供者:woai
  1. machine_scoring

    0下载:
  2. 机器阅卷,含有计数器的功能、读取试卷、评分和核实等功能。运行软件是GlassFish Tools Bundle For Eclipse -Machine-scored, containing the counter function, read the papers, scoring and verification functions. Running software is the GlassFish Tools Bundle For Eclipse
  3. 所属分类:software engineering

    • 发布日期:2017-04-05
    • 文件大小:17221
    • 提供者:liuxia
  1. jz

    0下载:
  2. 实验一 工业顺序控制 实验二 定时器实验 实验三 串行通信实验 实验四 计数器实验-Experiment 1 Experiment 2 industrial sequence control timer Experiment 3 Experiment 4 Counter serial communication experiment
  3. 所属分类:File Formats

    • 发布日期:2017-04-16
    • 文件大小:50722
    • 提供者:lintao
  1. MultiFunctionCounter

    0下载:
  2. 此为我们组在学校比赛中设计的多功能计数器的系统设计文档,此多功能计数器即是测频测相器(硬件使用EPM240采样和计数,mega16取数和控制)-This is our group s multi-purpose counter system design documents in school competitions. This multi-function counter that is the frequency and phase detector(hardware using EPM2
  3. 所属分类:Project Design

    • 发布日期:2017-03-30
    • 文件大小:464083
    • 提供者:黎鑫
  1. DuogongnenJiishuqi

    0下载:
  2. 此为我们组在学校比赛中设计的多功能计数器的系统设计文档,此多功能计数器即是测频测相器(硬件使用EPM240采样和计数,mega16取数和控制)-This is our group s multi-purpose counter system design documents in school competitions. This multi-function counter that is the frequency and phase detector(hardware using EPM2
  3. 所属分类:Project Design

    • 发布日期:2017-04-06
    • 文件大小:464091
    • 提供者:黎鑫
  1. frequencydivider

    0下载:
  2. 计数器和分频器的PDF资料,供大家参考哈。希望对大家有用-Counter and frequency divider of the PDF information for your reference ha. Want to be useful to everyone
  3. 所属分类:File Formats

    • 发布日期:2017-05-30
    • 文件大小:12595257
    • 提供者:周心驰
  1. 223

    0下载:
  2. 本文介绍了四路智力抢答器的分模块设计电路及各模块的逻辑功能及其电路和波形展示。所设计的抢答器除了基本的锁存和复位功能外,还有其它功能:席位灯亮且扬声器响提示抢答成功;数码显示选手号码和两个倒计时(抢答和回答);倒计时可设定(根据需要对计数器置数);抢答倒计时可暂停,对同一道题可多次抢答直至计时归零时响警报;回答倒计时有5秒倒计时警报等。这些功能都使得该器件更加贴近现实,更加智能化、人性化-In this paper, it introduces that 4-wire answering dev
  3. 所属分类:Project Design

    • 发布日期:2017-04-08
    • 文件大小:679227
    • 提供者:杨汉轩
  1. RTC

    0下载:
  2. RTC 实时时钟,主要用于实现长时间计时。模块包括可选8:1 分频器,一个定时器T14,及一个32 位RTC 计数器。本例程介绍RTC的DAVE配置以及KEIL的编程指导-RTC Real Time Clock, mainly used to achieve a long time. Module includes an optional 8:1 divider, a timer T14, and a 32-bit RTC counter. The routine introduction of
  3. 所属分类:Communication

    • 发布日期:2017-04-03
    • 文件大小:523505
    • 提供者:陈全
  1. Counter

    0下载:
  2. 所谓24进制计数器,要在数码管上直观的显示0,1…..22,23等数,再归零-The so-called binary counter 24 to the digital control on the visual display 0,1 ... .. 22,23 and a few, then zero
  3. 所属分类:Project Design

    • 发布日期:2017-04-04
    • 文件大小:122223
    • 提供者:xiejun
  1. office

    0下载:
  2. 交通灯控制器主要是利用了一个计数器芯片的计数,当计数器开始有稳定的秒脉冲输入时就开始计数,秒脉冲是用555多谐振荡器产生的,然后再通过各种门电路的逻辑组合实现最后的交通灯功能。-Traffic light controller is to use a counter chip count when the counter started a steady start when seconds count input pulse, second pulse is over 555 harmonic
  3. 所属分类:Project Design

    • 发布日期:2017-03-31
    • 文件大小:88341
    • 提供者:kevin
  1. guangshanweiyiceiangkongzhixitong

    1下载:
  2. 精密工作台的光栅位移测量和控制系统 精密工作台的光栅定位测量和控制系统的设计 介绍了 国内外现状和光栅检测的历史。当今采用的原理和总体方案,放大整形、5倍频电阻链细分并联4细分辨向电路,24位可逆计数器 -Grating displacement precision stage control system for precision measurements and positioning table of the raster measurement, and control syste
  3. 所属分类:Project Design

    • 发布日期:2017-04-02
    • 文件大小:136917
    • 提供者:于小微
  1. hdl

    0下载:
  2. 数字频率计数器,可用四位数码管显示所测试频率。有千位小数点进行量程控制-Digital frequency counter, four digital display can be used for testing frequency. Has 1000 decimal to range control
  3. 所属分类:File Formats

    • 发布日期:2017-04-14
    • 文件大小:5517
    • 提供者:Ivy
  1. Trafficcontrolsystemdesign

    0下载:
  2. ⒈ 了解交通灯管理的基本工作原理 ⒉ 熟悉8259中断控制器的工作原理和应用编程 ⒊ 熟悉8255并行接口的各种工作方式和应用 ⒋ 熟悉8253计数器/定时器的工作方式及应用编程,掌握利用软硬件相结 合定时的方法 ⒌ 掌握多位LED显示问题的解决 -But understand the basic principle of management of traffic The 8259 interrupt controller with the working princi
  3. 所属分类:File Formats

    • 发布日期:2017-04-17
    • 文件大小:57065
    • 提供者:龙行
  1. shuzi

    1下载:
  2. 设计一个采用数字电路实现,对时,分,秒.数字显示的计时装置,周期为24小时,显示满刻度为23时59分59秒,并具有校时功能和报时功能的数字电子钟。电路主要采用中规模集成电路.本系统的设计电路由脉冲逻辑电路模块、时钟脉冲模块、时钟译码显示电路模块、整电报时模块、校时模块等部分组成。采用电池作电源,采用低功耗的芯片及液晶显示器,发生器使用石英晶振、计数振荡器CD4060及双D触发器74LS74,计数器采用同步双十进制计数器74LS160,锁存译码器是74LS248,整电报时电路用74LS74,74L
  3. 所属分类:Document

    • 发布日期:2017-03-30
    • 文件大小:449314
    • 提供者:张龙
  1. chuzuchejijia

    0下载:
  2. 出租车计价器,用单片机等的设计,用到系统需三块千进制计数器,均由四块74ls290芯片组合。3元五公里-You can lean it ,though run it ,maybe it not good enough,but you can see it .
  3. 所属分类:Project Manage

    • 发布日期:2017-04-06
    • 文件大小:332603
    • 提供者:廉颖
  1. Decimal_counter_based_design_MAX_PLUS

    0下载:
  2. 基于MAX_PLUS_的十进制计数器的设计Decimal counter based design MAX_PLUS_-Decimal counter based design MAX_PLUS_
  3. 所属分类:Software Testing

    • 发布日期:2017-04-17
    • 文件大小:293667
    • 提供者:kimi
  1. Dlatch

    0下载:
  2. D触发器实现的李码递减模九计数器 D触发器实现的李码递减模九计数器-Dlatch lima M9
  3. 所属分类:software engineering

    • 发布日期:2017-05-11
    • 文件大小:2597352
    • 提供者:徐伟
« 1 2 3 45 6 7 8 9 »
搜珍网 www.dssz.com