CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 计数器

搜索资源列表

  1. 8-jinzhi-counter

    0下载:
  2. 8进制计数器 每计数八次进一次位,vhdl语言的基础程序,对初学者很有帮助-8 binary counter into a bit of each of eight counts, vhdl language based program, very helpful for beginners
  3. 所属分类:Project Design

    • 发布日期:2017-04-10
    • 文件大小:643
    • 提供者:zhaohong
  1. 10-jinzhi-counter

    0下载:
  2. 10进制计数器 每计数十次有一个进位,是vhdl编程的基础程序,应用于fpga cpld可编程逻辑器件-Total scores of 10 binary counter has a per carry, is the basis for vhdl programming procedures used in programmable logic devices fpga cpld
  3. 所属分类:Project Design

    • 发布日期:2017-04-11
    • 文件大小:638
    • 提供者:zhaohong
  1. 15-jinzhi-counter

    0下载:
  2. 15进制计数器 每计数十五次有一个进位,是vhdl编程的基础程序,应用于fpga cpld可编程逻辑器件-Fifth decimal counter 15 counts each have a carry, is the basis for vhdl programming procedures, programmable logic devices used in fpga cpld
  3. 所属分类:Project Design

    • 发布日期:2017-04-11
    • 文件大小:651
    • 提供者:zhaohong
  1. 18073609

    0下载:
  2. 利用两片74160制成的24/12进制计数器,可以作为数字钟的一部分-Made use of two 74 160 24/12 binary counter, digital clock can be used as part of
  3. 所属分类:software engineering

    • 发布日期:2017-04-08
    • 文件大小:316101
    • 提供者:郑浩
  1. Simple-keyboard

    0下载:
  2. 利用555定时器和计数器编辑一个简易电子琴的程序-failed to translate
  3. 所属分类:software engineering

    • 发布日期:2017-04-05
    • 文件大小:229774
    • 提供者:李丽
  1. 2004PLC

    0下载:
  2. 2004年全国PLC技能大赛试题解答-计数器法解答-2004 National Skills Competition PLC questions to answer- to answer the counter method
  3. 所属分类:IT Hero

    • 发布日期:2017-04-07
    • 文件大小:439885
    • 提供者:马跃栋
  1. name

    0下载:
  2. 电子钟的设计电子钟需要一个时钟基准信号,产生基本的而且准确的计时单位,根据实验室的实际环境,我们可以设计一个变量来计算时钟基准信号的个数,来达到计时的目的。例如用计时器计1S,计数到60时可以产生1min的定时。然后计数器清零,分加1。循环反复计数。由此实现电子钟的设计。 对于能够调节分、秒值的电子钟,当触发某一按键时,通过执行相应的程序而去对分、秒值进行加减。 所以在这里把问题归结找到计时的基本单位,并编写对应按键的程序。 -The design of electronic clo
  3. 所属分类:software engineering

    • 发布日期:2017-05-22
    • 文件大小:7079041
    • 提供者:yj
  1. functional-counter-

    0下载:
  2. 这是关于多功能计数器报告,里面详细介绍了关于多能计数器的设计,硬件的实现。-This is a report on the multi-function counter, which detailed more than able to counter on the design, hardware implementation.
  3. 所属分类:Project Design

    • 发布日期:2017-04-07
    • 文件大小:206304
    • 提供者:yhh
  1. jia

    0下载:
  2. 摘要:介绍了利用直接数字合成技术产生频率扫描信 号的新方法。利用计数器和相位累加器实现对波形存 储器寻址, 从而产生频率扫描信号序列。该序列通过 数-模转换器和低通滤波器后, 产生出频率扫描信号。 被合成的频率扫描信号的起始频率、 终止频率和扫描 时间可根据需要随意设定,并且可以实现对三者的精 确控制。-Abstract: The use of direct digital synthesis techniques to create a new method of fr
  3. 所属分类:software engineering

    • 发布日期:2017-04-03
    • 文件大小:148870
    • 提供者:贾琼
  1. S7-200biancheng

    0下载:
  2. 模拟电位器 H–2 H.2 怎样使用高速计数器 H–6 H.3 自由通信口模式的简单应用 H–10 H.4 处理脉宽调制 H–13 H.5 可逆电动机起动器电路――适用于改变三相交流感应电动机旋转方向 H–16 H.6 步执行顺序(事件鼓定时器) H–19 H.7 S7-200用自由通信口模式和并行打印机连接 H–23 H.8 通过自由通信口模式接受条形码阅读器的信息 H–27 H.9 集成脉冲输出通
  3. 所属分类:Communication

    • 发布日期:2017-03-23
    • 文件大小:779097
    • 提供者:李明
  1. s7-200bcc

    0下载:
  2. 模拟电位器 H–2 H.2 怎样使用高速计数器 H–6 H.3 自由通信口模式的简单应用 H–10 H.4 处理脉宽调制 H–13 H.5 可逆电动机起动器电路――适用于改变三相交流感应电动机旋转方向 H–16 H.6 步执行顺序(事件鼓定时器) H–19 H.7 S7-200用自由通信口模式和并行打印机连接 H–23 H.8 通过自由通信口模式接受条形码阅读器的信息 H–27 H.9 集成脉冲输出通
  3. 所属分类:Communication

    • 发布日期:2017-03-30
    • 文件大小:784019
    • 提供者:李明
  1. DDC

    0下载:
  2. 这是我做数字下变频的论文中用到的源代码,包含CIC,计数器,积分器等模块。-his is what I do digital down conversion of the paper used in the source code, including CIC, counter, the integrator module.
  3. 所属分类:Project Design

    • 发布日期:2017-04-07
    • 文件大小:3163
    • 提供者:欧熊平
  1. Based-on-SPCE061A-counter

    0下载:
  2. 计数器基于凌阳SPCE061A微处理器设计,对高频信号采用测频法,提高精度,对低频信号采用测周法,可最大限度减小误差。能够接收函数信号发生器产生的信号,实现周期测量和时间间隔测量。可记忆10个测量的历史数据,实现语音报数功能且能够显示温度等多种功能。本系统经实验调试,较好地实现了预定的功能,由于采用了凌阳单片机控制,系统可靠性和性价比较高。- The designed counter adopts Sunplus SPCE061A MCU,uses frequency measurement f
  3. 所属分类:Project Design

    • 发布日期:2017-04-07
    • 文件大小:54138
    • 提供者:kangxinlong
  1. count_60

    0下载:
  2. 计数器,实现1到60的可逆加减法计数,还有异步清零,异步置数功能-Counter, realize the 1 to 60 reversible addition and subtraction count,And asynchronous reset, asynchronous buy several functions
  3. 所属分类:software engineering

    • 发布日期:2017-04-09
    • 文件大小:1083
    • 提供者:vanilla
  1. examples

    0下载:
  2. 改程序的功能是可以产生三角波方波,并且用两个计数器完成计数-Change program can generate the triangular wave square wave, and two counters count
  3. 所属分类:software engineering

    • 发布日期:2017-05-02
    • 文件大小:531370
    • 提供者:沈雄华
  1. java3

    0下载:
  2. 实验四:毕达哥拉斯三元组 实验目的:1.嵌套的for循环。 2.使用计数器控制的循环。 3.使用“强力(brute force)”来解决问题。 问题描述:直角三角形的各边长度都可以为整数。这组代表直角三角形各边的整型值称为 毕达哥拉斯三元组。这3条边必须满足如下关系:两条直角边的平方和等于斜边的平方。在1到500的范围内,找出所有适合于side1,side2和hypotenuse的整形毕达哥拉斯三元组。使用一个3层嵌套的for循环尝试所有可能的情况。该程序是“强力
  3. 所属分类:File Formats

    • 发布日期:2017-03-23
    • 文件大小:55079
    • 提供者:哦也啊
  1. counter

    0下载:
  2. 基于KEIL 使用于51单片机 计数器功能 用LCD1602输出 模块化设计-51 single-chip counter function LCD1602 output modular design based KEIL
  3. 所属分类:software engineering

    • 发布日期:2017-04-08
    • 文件大小:2787
    • 提供者:杨云
  1. lesson

    0下载:
  2. 飞思卡尔计数器的源码,希望对各位初学者有用-Freescale counter source code, I hope useful to you beginners
  3. 所属分类:software engineering

    • 发布日期:2017-04-11
    • 文件大小:1444
    • 提供者:doushoujun
  1. 75368_[www.ic5.cn]

    0下载:
  2. 74AC163可编程计数器用作分频精度高速度快-The 74AC163 programmable counters used for frequency accuracy of high-speed fast
  3. 所属分类:Document

    • 发布日期:2017-04-02
    • 文件大小:91305
    • 提供者:云飞扬
  1. timing-integrated

    0下载:
  2. 定时-计数器综合应用电子钟,讲述了如何应用中断定时器-Timed- to the counter integrated application of electronic bell, about how to use the interrupt timer
  3. 所属分类:File Formats

    • 发布日期:2017-04-17
    • 文件大小:141720
    • 提供者:张三
« 1 2 3 4 56 7 8 9 »
搜珍网 www.dssz.com