CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - sh

搜索资源列表

  1. SH-4_CPU_Core_Architecture

    0下载:
  2. SH-4_CPU_Core_Architecture Ins code.
  3. 所属分类:Project Design

    • 发布日期:2017-05-04
    • 文件大小:1232929
    • 提供者:succuba
  1. 10072007_1

    0下载:
  2. sh must bt 20 works at aa time asdg asd ndsq weuwe-sh must bt 20 works at aa time asdg asd ndsq weuwe!!
  3. 所属分类:software engineering

    • 发布日期:2017-04-25
    • 文件大小:287630
    • 提供者:girish
  1. gtkInstall

    0下载:
  2. 这是我自己写的一个Gtk+2.18与directfb1.2自动安装脚本,由于源码包太大,下载者自己去下载吧,按脚本的版本找到,解压放到指定位置,运行./install.sh即可自动完成,或者你可以修改脚本。-This is my own to write a Gtk+2.18 and directfb1.2 automatically install scr ipt, as the source packages too large to download to download it them
  3. 所属分类:software engineering

    • 发布日期:2017-04-17
    • 文件大小:66732
    • 提供者:黄健
  1. 200841613515595

    0下载:
  2. 下载vsftpd-2.0.6.tar.gz 网上很好找的,附件也提供下载,解压。 tar zxvf vsftpd-2.0.6.tar.gz 修改解压包内文件MakeFile,改交叉编译器,我的路径是 # Makefile for systems with GNU tools CC= /usr/local/arm/3.4.1/bin/arm-linux-gcc 直接编译会出错,还要改一个包含库文件的文件vsf_findlibs.sh 把下面两句屏蔽掉吧-Download vs
  3. 所属分类:File Formats

    • 发布日期:2017-03-29
    • 文件大小:197468
    • 提供者:qwe
  1. SmalltalkByExampleON2

    0下载:
  2. 学习smalltalk语言的一本书, 包含一些范列-This book is the book that I wanted when I started programming in Smalltalk. None of the books on the market seemed quite right they all lacked some of what I needed to get my job done. Some were more like reference boo
  3. 所属分类:software engineering

    • 发布日期:2017-05-19
    • 文件大小:5159682
    • 提供者:王昶旭
  1. Commun

    0下载:
  2. communication paper gd dsh sh s-communication paper gd dsh sh sh s
  3. 所属分类:Communication

    • 发布日期:2017-05-11
    • 文件大小:2065187
    • 提供者:Hemant
  1. ml-driver_mlmanage.sh.pdf

    0下载:
  2. Dream Cheeky USB Missile Launcher driver shell scr ipt
  3. 所属分类:行业发展研究

    • 发布日期:2017-04-16
    • 文件大小:21700
    • 提供者:post
  1. fisheye

    0下载:
  2. 一篇外文文献,介绍了大视场鱼眼镜头的畸变校正方法,并有实际测试精度-Calibration of a fi sh eye lens with field view larger than 180
  3. 所属分类:Development Research

    • 发布日期:2017-12-04
    • 文件大小:1629851
    • 提供者:丁泽伟
  1. SPTool

    0下载:
  2. SPTool工具提供四个基本的信号处理图形用户界面(GUI)程序,它们分别是信号浏览器、滤波器设计器、滤波器浏览器、频谱浏览器。 - 英语中文德语检测语言翻译文字或网页 SPTool工具提供四个基本的信号处理图形用户界面(GUI)程序,它们分别是信号浏览器、滤波器设计器、滤波器浏览器、频谱浏览器。 请键入文字或网站地址,或者上传文档。 取消 SPTool gōngjù tígōng sì gè jīběn de xìnhào chǔlǐ túxíng yònghù
  3. 所属分类:software engineering

    • 发布日期:2017-04-03
    • 文件大小:124591
    • 提供者:TIMZHAO
  1. VCPPstatic-Library

    0下载:
  2. 通过试验,清楚了VC++6.0连接静态库时对重复函数名的处理规则。同时介绍了如何将一个静态库合并到另一个静态库中。-请键入文字或网站地址,或者上传文档。 取消 Tōngguò shìyàn, qīngchule VC++6.0 Liánjiē jìngtài kù shí duì chóngfù hán shǔ míng de chǔlǐ guīzé. Tóngshí jiè shào liǎo rúhé jiāng yīgè jìngtài kù hébìng dào lìng yīg
  3. 所属分类:software engineering

    • 发布日期:2017-04-05
    • 文件大小:163726
    • 提供者:殷海峰
  1. EasyASP-V2.1-V2.2

    0下载:
  2. 我刚刚整理的EasyASP V2.1-V2.2使用说明手册(Word文档形式)。下载后可直接双面打印即可。-请键入文字或网站地址,或者上传文档。 取消 Wǒ gānggāng zhěnglǐ de EasyASP V2.1-V2.2 Shǐyòng shuōmíng shǒucè (Word wéndàng xíngshì). Xiàzài hòu kě zhíjiē shuāng miàn dǎyìn jí kě.I just finishing EasyASP V2.1-V2.2 U
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:150068
    • 提供者:楚雄
  1. TiganPersonDriverGame

    0下载:
  2. 体感游戏之人物模型驱动篇 介绍怎么从零开始把自己的人物模型驱动起来,目的是给读者一个能运行的示例-关闭翻译英语中文德语检测语言 中文(简体)英语日语 翻译文字或网页 体感游戏之人物模型驱动篇 介绍怎么从零开始把自己的人物模型驱动起来,目的是给读者一个能运行的示例 请键入文字或网站地址,或者上传文档。 取消 Tǐgǎn yóuxì zhī rénwù móxíng qūdòng piān Jièshào zěnme cóng líng kāishǐ bǎ
  3. 所属分类:software engineering

    • 发布日期:2017-04-16
    • 文件大小:267614
    • 提供者:huiying
  1. youhuaguocheng

    0下载:
  2. 我写的这个是对弹道飞行轨迹的优化过程,大家一起学习交流.- 请键入文字或网站地址,或者上传文档。 取消 Wǒ xiě de zhège shì duì dàndào fēixíng guǐjī de yōuhuà guòchéng, dàjiā yì qǐ xuéxí jiāoliú.I wrote this is a ballistic trajectory optimization process, with everyone learning exchanges
  3. 所属分类:software engineering

    • 发布日期:2017-03-28
    • 文件大小:1398
    • 提供者:冯海涛
  1. sh

    0下载:
  2. 为学校写的招标安全招标技术要求,供学校招标使用。-Wrote for the school security tender technical requirements of the tender, the tender for school use.
  3. 所属分类:Project Design

    • 发布日期:2017-04-16
    • 文件大小:21105
    • 提供者:hqg
  1. ST_LINK_V2

    0下载:
  2. ST_LINK_V2原理图,PCB设计中。-ST_LINK_V2 SH
  3. 所属分类:software engineering

    • 发布日期:2017-04-26
    • 文件大小:29848
    • 提供者:jack
  1. sh

    0下载:
  2. 新水浒Q传自动判断打怪,喝血走路带队挂超千必备 最新版源码-New Water Margin Q pass automatically determine blow up, drink blood hanging over one thousand foot led the latest version of the source code necessary
  3. 所属分类:Software Testing

    • 发布日期:2017-05-06
    • 文件大小:1405685
    • 提供者:安达市
  1. GENERATION-OF-INCOHERENT-GROUND-MOTIONS-FOR-SSI-a

    0下载:
  2. This paper aims to present a new method for generating incoherent seismic ground motions on the basis of the stochastic deconvolution technique and the spectral representation method. The generated free field motion satisfies the imposed statisti
  3. 所属分类:Project Design

    • 发布日期:2017-04-25
    • 文件大小:323924
    • 提供者:Aleja28
  1. Altera.QUARTUS.II.Megacore.IP.Library.V7.2.SP2-SH

    0下载:
  2. Torrent to get a library of files which contains crack for Quartus II v7.2
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:2426
    • 提供者:bink
  1. SH

    0下载:
  2. 本实验是为了让学生对持久化技术有一个初步了解,并掌握在Eclipse + Hibernate的集成环境下,如何建立一个持久化技术的简单的应用-Eclipse+ Hibernate
  3. 所属分类:software engineering

    • 发布日期:2017-05-03
    • 文件大小:937512
    • 提供者:rend
  1. EKSTRA-OZGUN-DENEME-SINAVLARI

    0下载:
  2. ENGLİ SH- TURKİ SH LENGUAGE EXAMPLE FOR TUTORİ AL
  3. 所属分类:File Formats

    • 发布日期:2017-05-11
    • 文件大小:2679755
    • 提供者:polat
« 12 »
搜珍网 www.dssz.com