CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - sl-

搜索资源列表

  1. SL-MEGA169P

    0下载:
  2. 双龙ATMEGA169液晶显示试验开发版,带射频模块式和开发
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:41910
    • 提供者:super10
  1. DS_HASPSRM_Product_Activation

    3下载:
  2. 加密狗解密介绍,主要是对USB加密狗解密的具体解释。-hasp sl protection key
  3. 所属分类:software engineering

    • 发布日期:2017-03-28
    • 文件大小:216991
    • 提供者:wenyou
  1. SE1-Abstraction-and-Modelling

    0下载:
  2. 第1卷,涵盖了基本的原则和技术,形式化方法和抽象造型。首先,这本书提供了一个良好的,但简单的基础上洞察离散数学:号码,电视机, cartesians ,类型,功能,的lambda演算,代数,数理逻辑。那么列车,其读者的基本财产和示范导向的规范原则和技巧。示范导向的观念,是共同的,如语言规范包括b , vdm的-晚上八时,和z是解释,在这里用提高规范语言(出rsl ) 。这本书则涵盖的基本原则的应用(功能) ,势在必行,并发(并行)的规格规划。最后,卷载有一个全面的词汇,软件工程等,以及大量的索引
  3. 所属分类:software engineering

    • 发布日期:2017-11-25
    • 文件大小:52656128
    • 提供者:Hwang
  1. SL-MEGA128-SCH

    0下载:
  2. MEGA128 EVALUATION BOARD
  3. 所属分类:Project Design

    • 发布日期:2017-11-27
    • 文件大小:26650
    • 提供者:gyq
  1. sl

    0下载:
  2. 本文档主要是描述用C#编写的扫雷的文档,其程序也可在我的资料中下载-This document is mainly a descr iption written in C# minesweeping documents, the program can also be downloaded information
  3. 所属分类:software engineering

    • 发布日期:2017-11-30
    • 文件大小:216962
    • 提供者:马铁军
  1. 200711-0054-05

    0下载:
  2. TMS28335初始化完成之后, 1、 先DDS产生73.35hz的方波。(问流量管固定频率) 2、 乘法器的另一个数字端输入乘数直接给一个固定值(按照5v),系数是固定的就是对应PID输出的那个接口,串行十二位信号输出(需要先定好一个GPIO接口)。 3、 再延迟一定的时间0.1s或其他时间之后,开始ad采集信号,分别采两路AD信号,此时不稳定(为什么要等到一定的幅值才开始采集AD信号) 4、 选择250点估计一个频率,频率估计的方法采用计算峰值次数的方法或者过零点,总之是为了
  3. 所属分类:Project Design

    • 发布日期:2017-11-28
    • 文件大小:165222
    • 提供者:kiss
  1. MF_RC531_scn

    0下载:
  2. MF RC531 是应用于13.56MHz 非接触式通 信中高集成读写卡芯片系列中的一员。该读写卡 芯片系列利用了先进的调制和解调概念,完全集 成了在13.56MHz 下所有类型的被动非接触式通 信方式和协议。芯片管脚兼容MF RC500、MF RC530 和SL RC400。 MF RC531 支持ISO/IEC14443A/B 的所有层 和MIFARE® 经典协议,以及与该标准兼容的标 准。支持高速MIFARE® 非接触式通信波特率。内部的发送
  3. 所属分类:software engineering

    • 发布日期:2017-03-21
    • 文件大小:352074
    • 提供者:余威
  1. sl

    0下载:
  2. C#从入门到精通光盘源码,各个章节里面的例程都有,使用VS2010开发-C# source code from entry to the master disc
  3. 所属分类:software engineering

    • 发布日期:2017-06-16
    • 文件大小:25129711
    • 提供者:刘旭
  1. SINUMERIC-840d

    1下载:
  2. SINUMERIK SINUMERIK 840D sl Ciclos de medida HMI sl Manual de programacion
  3. 所属分类:software engineering

    • 发布日期:2017-05-23
    • 文件大小:7574985
    • 提供者:alberto
  1. SL-Programming-Manual-3.01

    0下载:
  2. Manual for telephone center
  3. 所属分类:software engineering

    • 发布日期:2017-05-11
    • 文件大小:2935413
    • 提供者:Labros Maninos
  1. sl

    0下载:
  2. java从入门到精通(实例版)源文件完全符合课后习题大家可以参考-Java entry to the master (case version) source file
  3. 所属分类:Project Design

    • 发布日期:2017-06-10
    • 文件大小:16946831
    • 提供者:Grace
  1. SLA-12VDC-SL-A

    0下载:
  2. 中国名牌松乐大功率继电器,电流30A,用于各种控制场合-30A SONGLE RELAY
  3. 所属分类:Project Manage

    • 发布日期:2017-05-04
    • 文件大小:75194
    • 提供者:xieyping
  1. f86f3d90809c8a3cb8d77558d5ae802b

    0下载:
  2. 剩余电流京东客服肯定就散了反倒是数据库萨里看到打开就散了阿来得及啊拉链科技啊(sheng yu dian liu soj sojfoie sei j wod sl sodjo d ssjdfjdns os fndnao sofj ndao sdjfodn sdjfj sdojf sojfo f s fs sow nf)
  3. 所属分类:文章/文档

    • 发布日期:2018-04-22
    • 文件大小:12288
    • 提供者:Xiao~小迪
搜珍网 www.dssz.com