CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - verilog

搜索资源列表

  1. Verilog编码与综合中的非阻塞性赋值CummingsSNUG2000SJ_NBA_rev1_2.rar

    0下载:
  2. Verilog编码与综合中的非阻塞性赋值
  3. 所属分类:文档资料

    • 发布日期:
    • 文件大小:52437
    • 提供者:
  1. Verilog

    1下载:
  2. verilog经典书籍,绝对经典。硬件描述语言(第四版)
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:5306957
    • 提供者:qfwlxxiaoman
  1. 大量verilog代码

    1下载:
  2. 大量verilog设计实例
  3. 所属分类:编程文档

    • 发布日期:2009-02-26
    • 文件大小:355574
    • 提供者:andrew_wee
  1. verilog数字时钟论文及代码

    5下载:
  2. verilog数字时钟论文及源代码
  3. 所属分类:文档资料

    • 发布日期:2009-03-08
    • 文件大小:203264
    • 提供者:s_coolw@163.com
  1. Verilog HDL的基础教程

    0下载:
  2. Verilog HDL的基础教程PDF资料
  3. 所属分类:编程文档

    • 发布日期:2008-10-20
    • 文件大小:290258
    • 提供者:yuhengzhou
  1. 用Verilog和VHDL设计状态机的论文

    0下载:
  2. 详细介绍了用Verilog和VHDL设计状态机的技术。
  3. 所属分类:文档资料

    • 发布日期:2009-04-03
    • 文件大小:113715
    • 提供者:yxpsc@tom.com
  1. teach~verilog 教学

    0下载:
  2. 將工作多年的經驗談分享給大家 希望大家在VERILOG這塊領域上會有所助益
  3. 所属分类:文档资料

    • 发布日期:2011-06-30
    • 文件大小:9080
    • 提供者:abirdeieozty
  1. 矩阵键盘 verilog

    0下载:
  2. 用verilog写的 矩阵键盘
  3. 所属分类:编程文档

    • 发布日期:2011-08-04
    • 文件大小:241152
    • 提供者:1111122222
  1. verilog与ISE

    1下载:
  2. verilog与ISE系列的,非常好
  3. 所属分类:技术管理

    • 发布日期:2012-03-02
    • 文件大小:7246820
    • 提供者:win_hshiw
  1. SPI-in-Verilog-implementation

    4下载:
  2. SPI的Verilog实现(非常的全面和详细,还带有SPI算法的注解).-SPI in Verilog implementation (a very full and detailed, but also with the SPI algorithm annotation).
  3. 所属分类:Communication

    • 发布日期:2017-03-23
    • 文件大小:7831
    • 提供者:尚林
  1. Verilog编码与综合中的非阻塞性赋值CummingsSNUG2000S

    0下载:
  2. Verilog编码与综合中的非阻塞性赋值-Verilog code and synthesis must blocking evaluation
  3. 所属分类:Document

    • 发布日期:2017-11-09
    • 文件大小:52708
    • 提供者:徐路
  1. Verilog

    0下载:
  2. Verilog语言学习资料,希望对给为有一点帮助哈-Verilog language learning materials, hoping to have some help on to Kazakhstan
  3. 所属分类:Project Design

    • 发布日期:2017-04-05
    • 文件大小:593819
    • 提供者:周心驰
  1. verilog-traffic-light

    0下载:
  2. 基于VerilogHDL设计的交通灯控制系统本设计利用Verilog HDL 语言、采用层次化混合输入方式,可控制4个路口的红、黄、绿、左转四盏信号灯,让其按特定的规律进行变化。 -This design using Verilog HDL language, adopt hierarchical mixed input method, four intersection control of red, yellow, green, left four lamp lights, let its
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:98255
    • 提供者:镶牙另
  1. verilog-ieee.pdf.tar

    0下载:
  2. IEEE 2001 verilog 标准 ,详细讲述了 业内 公认的 VERILOG 标准 ,-The Verilog¤ Hardware Descr iption Language (Verilog HDL) became an IEEE standard in 1995 as IEEE Std 1364-1995. It was designed to be simple, intuitive, and effective at multiple levels of abstractio
  3. 所属分类:File Formats

    • 发布日期:2017-04-09
    • 文件大小:2200200
    • 提供者:adam
  1. Advanced Digital Design with the Verilog HDL

    0下载:
  2. Advanced Digital Design with the Verilog HDL (M.D.Cilett)
  3. 所属分类:编程文档

    • 发布日期:2016-02-15
    • 文件大小:22797291
    • 提供者:rukzak
  1. 夏宇闻verilog数字系统设计综合教程

    1下载:
  2. 夏宇闻的经典书籍:夏宇闻verilog数字系统设计综合教程(Xia Yuwen classic books: Xia Wen Verilog digital system design tutorial)
  3. 所属分类:文章/文档

    • 发布日期:2017-12-18
    • 文件大小:43602944
    • 提供者:wqywqy
  1. 三角函数的Verilog HDL语言实现

    0下载:
  2. 以Actel FPGA作为控制核心,通过自然采样法比较1个三角载波和3个相位差为1 200的正弦波,利用Verilog HDL语言实现死区时间可调的SPWM全数字算法,并在Fushion StartKit开发板上实现SPWM全数字算法。(With Actel FPGA as the control core, between 1 and 3 triangular carrier phase difference of 1200 sine wave by natural sampling, rea
  3. 所属分类:文章/文档

    • 发布日期:2017-12-16
    • 文件大小:148480
    • 提供者:所罗门
  1. Verilog的135个经典设计实例

    0下载:
  2. Verilog的135个经典设计实例,Verilog从业者学习者的很实用的资料(Classic design examples based on Verilog)
  3. 所属分类:文章/文档

    • 发布日期:2017-12-16
    • 文件大小:112640
    • 提供者:lala_1
  1. verilog分享--verilog快速掌握之模块例化

    1下载:
  2. 快速掌握verilog实例化分享程序,对于使用verilog编写的固件,需要功能划分,体现实例化的用处,便于归档提取,以备再次使用(Quickly grasp the Verilog instantiation sharing program, for the use of Verilog firmware, the need for functional division, to reflect the usefulness of instantiation, easy to archive
  3. 所属分类:文章/文档

    • 发布日期:2017-12-27
    • 文件大小:185344
    • 提供者:qing wang
  1. Verilog-数字频率计

    0下载:
  2. 实现了利用verilog在FPGA系统上实现的数字频率计,三个档位可供选择。(The digital frequency meter implemented on the FPGA system by Verilog is realized, and three files can be selected.)
  3. 所属分类:文章/文档

    • 发布日期:2018-04-20
    • 文件大小:1902592
    • 提供者:娃娃哦哦
« 12 3 4 5 6 7 8 9 10 ... 40 »
搜珍网 www.dssz.com