CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - xilinx FPGA

搜索资源列表

  1. FPGA设计xilinx篇

    1下载:
  2. 华为fgpa内部设计资料
  3. 所属分类:文档资料

    • 发布日期:2009-05-25
    • 文件大小:1799823
    • 提供者:hehailole_1986
  1. Xilinx_Design_Suite_10.1_深入辅导资料

    0下载:
  2. 该文档深入讲解了Xilinx的FPGA调试软件ISE10.1的操作,书中有非常多的实例,适合于深入研究Xilinx的FPGA的开发人员。
  3. 所属分类:文档资料

    • 发布日期:2010-12-03
    • 文件大小:2662427
    • 提供者:zhougongming
  1. xapp1014

    2下载:
  2. Xilinx 音视频设计文档,包括参考设计说明,适合FPGA工程师参考-Xapp1014- Advanced FPGA Design- audio video connectivity.pdf
  3. 所属分类:Project Design

    • 发布日期:2017-05-20
    • 文件大小:5657554
    • 提供者:zhangxinxin
  1. DesignofFloatingPointCalculatorBasedonFPGA

    0下载:
  2. 给出系统的整体框架设计和各模块的实现,包括芯片的选择、各模块之间的时序以及控制、每个运算模块详细的工作原理和算法设计流程;通过VHDL语言编程来实现浮点数的加减、乘除和开方等基本运算功能;在Xilinx ISE环境下,对系统的主要模块进行开发设计及功能仿真,验证 了基于FPGA的浮点运算。 -The overall framework of system design and realization of each module which contain selection of ch
  3. 所属分类:Project Design

    • 发布日期:2017-05-13
    • 文件大小:3488790
    • 提供者:mabeibei
  1. FPGA_advanced_techniques_designs_for_Xilinx

    0下载:
  2. FPGA设计高级技巧Xilinx篇,针对Xilinx软件和工具的FPGA设计技巧,对深入理解FPGA设计有一定的作用。-FPGA designs Xilinx advanced techniques articles, tools for the Xilinx software and FPGA design skills, in-depth understanding of FPGA design of a certain role.
  3. 所属分类:File Formats

    • 发布日期:2017-05-08
    • 文件大小:1706097
    • 提供者:田涛
  1. Sum

    0下载:
  2. FPGA with VHDL sum example in Xilinx
  3. 所属分类:Project Design

    • 发布日期:2017-03-28
    • 文件大小:560
    • 提供者:uyeuye
  1. GeneratingFPGA-AcceleratedDFTLibraries

    0下载:
  2. 关于DFT的文章,应用FPGA实现傅立叶变换。-Abstract—We present a domain-specific approach to generate high-performance hardware-software partitioned implementations of the discrete Fourier transform (DFT). The partitioning strategy is a heuristic based on the DFT
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:235386
    • 提供者:李然
  1. xilinx_altera

    0下载:
  2. XILINX 与 ALTERA 两家FPGA的比较,其中涉及了资源\速度\型号\性能等,比较时编译软件都采取了较多设置,可以保证正确性.-XILINX and ALTERA FPGA comparison of two, which involves a resource \ speed \ models \ performance, etc., when compared to compiled software have taken more settings, you can guaran
  3. 所属分类:software engineering

    • 发布日期:2017-04-07
    • 文件大小:22255
    • 提供者:刘成岩
  1. Spartan-3E-Starter-User-Guide

    0下载:
  2. 本文档是XILINX公司生产的FPGA型号为Spartan-3E 芯片内部结构的详细介绍-This document is a XILINX company FPGA model the details of the internal structure of the Spartan-3E chip
  3. 所属分类:software engineering

    • 发布日期:2017-05-23
    • 文件大小:7234691
    • 提供者:李芳芳
  1. Spartan6 GTP PCIe xfest 2009 v1.0

    1下载:
  2. 采用Xilinx公司的Spartan6 FPGA设计PCI Express的详细参考资料-Xilinx' s PCI Express, Spartan6 FPGA design, detailed reference information
  3. 所属分类:软件工程

    • 发布日期:2013-06-18
    • 文件大小:1712092
    • 提供者:ada
  1. PS2Keyboard_EN

    0下载:
  2. document VHDL for keyboard FPGA: Xilinx, Altera
  3. 所属分类:Communication

    • 发布日期:2017-12-05
    • 文件大小:473346
    • 提供者:NgocAnh
  1. phase_test

    0下载:
  2. VHDL,简易音频数字相位表的设计与实现 数字相位测量仪在工业领域中经常用到的一般测量工具,主要应用与同频率正弦信号间的相位差的测量显示。 本系统采用FPGA实现测量的核心部分,主要由数字鉴相、累加计数器、控制器以及寄存与显示译码电路组成。该系统硬件电路简单,整个系统采用硬件描述语言VHDL作为系统内部硬件结构的描述手段,在XILINX公司的ISE9.1的软件支持下完成。可以对20Hz~20kHz频率范围内的音频信号进行采样鉴相处理,并将数据传回FPGA进行相位差计数累加、测量运算,最后送显
  3. 所属分类:Project Design

    • 发布日期:2017-11-15
    • 文件大小:1366795
    • 提供者:张学仁
  1. ISEuserguide

    0下载:
  2. ise软件使用说明,对ISE软件的掌握非常有帮助。如果你开发xilinx的FPGA,建议下载。-ise software instructions, the ISE software grasp very helpful. If you develop xilinx' s FPGA, it is recommended to download.
  3. 所属分类:File Formats

    • 发布日期:2017-12-09
    • 文件大小:4517472
    • 提供者:mmtt
  1. OZ745

    0下载:
  2. 4k*2K zynq The OZ745 is a video development platform based around the Xilinx® Zynq-7045 FPGA. The kit includes all the basic components of hardware, design tools, IP, pre-verified reference designs and Board Support Package to rapidly devel
  3. 所属分类:Project Design

    • 发布日期:2017-11-14
    • 文件大小:685056
    • 提供者:iyandy
  1. VGA---Spartan-3

    0下载:
  2. VGA - FPGA xilinx -VGA - FPGA xilinx ----------------
  3. 所属分类:Project Design

    • 发布日期:2017-11-08
    • 文件大小:6615
    • 提供者:Trong
  1. LTE-xilinx_PFGA_reference_design

    0下载:
  2. xilinx中一整套关于LTE在FPGA下的解决方案,里面涉及到LTE设计的L1层和L2层以及结合其硬件的设计方案和内容,非常利于LTE开发-xilinx set on the LTE solution under the FPGA, which relates to the design of LTE L1 and L2 layers and the combination of the hardware design and content development is beneficial
  3. 所属分类:Project Design

    • 发布日期:2017-05-18
    • 文件大小:4633203
    • 提供者:yangfan
  1. LTE-xilinx_PFGA_reference_design_all

    1下载:
  2. xilinx中一整套关于LTE在FPGA下的解决方案,里面涉及到LTE设计的L1层和L2层以及结合其硬件的设计方案和内容,非常利于LTE开发-xilinx set on the LTE solution under the FPGA, which relates to the design of LTE L1 and L2 layers and the combination of the hardware design and content development is beneficial
  3. 所属分类:Project Design

    • 发布日期:2017-05-15
    • 文件大小:3646348
    • 提供者:yangfan
  1. testlcd

    0下载:
  2. how to write on the LCD screen of the SPARTAN 3E with FPGA of XILINX
  3. 所属分类:Software Testing

    • 发布日期:2017-04-16
    • 文件大小:96673
    • 提供者:Raafat abdelli
  1. piso1

    0下载:
  2. The following thesis describes the design, the synthesis, and the implementation of pulse width modulation (PWM) in Xilinx Field Programmable Gate Array (FPGA). The contribution of this thesis is the development of PWM in Xilinx
  3. 所属分类:Project Design

    • 发布日期:2017-04-13
    • 文件大小:1549
    • 提供者:nadeem
  1. sha1_v01

    0下载:
  2. sha1_testbench.v -- Testbench with vectors NIST FIPS 180-2 sha1_exec.v -- Top level sha1 module sha1_round.v -- primitive sha1 round dffhr.v -- generic parameterizable D-flip flop library Performance Analysis Performance equa
  3. 所属分类:software engineering

    • 发布日期:2017-04-15
    • 文件大小:6315
    • 提供者:sam
« 1 2 3 4 56 »
搜珍网 www.dssz.com