CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 数码管扫描

搜索资源列表

  1. AN_O0306_cn_V1.0

    0下载:
  2. 本系统应用于SUNPLUS SPMC65x series上,扫描4X4按键和4个数码管的动作与规格说明,提供的范例程序的硬件部分是以SPMC65P2404A*28p为开发对象。-the system used SUNPLUS SPMC65x series. 4 X4 scan button and four digital control movement and specifications. provide examples of procedures based on the hardwa
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:379159
    • 提供者:陈阳
  1. Key4x4_4LED

    0下载:
  2. 软件部分。本系统应用于SUNPLUS SPMC65x series上,扫描4X4按键和4个数码管的动作与规格说明,提供的范例程序的硬件部分是以SPMC65P2404A*28p为开发对象。-software. The system used SUNPLUS SPMC65x series. 4 X4 scan button and four digital control movement and specifications. provide examples of procedures base
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:11936
    • 提供者:陈阳
  1. cssb

    0下载:
  2. 摘要:介绍了一个数码管显示数字仪表表盘读数的自动识别系统,在字符提取阶段,采用颜色提取的方法,使得尽管图片的字体颜 色与背景颜色相同,但只要亮度不同,也能很好的提取出字符部分。在字符分割过程中,采用增益方法识别边界,准确分割出单个字符。 并采用统计法和扫描法相结合的方法来识别数字.实验结果表明提出的方案可行,具有较强的鲁棒性.
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:304692
    • 提供者:casey1986
  1. vhdl

    0下载:
  2. VHDL是Very High Speed Integrated Circuit Hardware Descr iption Language的缩写, 意思是超高速集成电路硬件描述语言。对于复杂的数字系统的设计,它有独特的作用。它的硬件描述能力强,能轻易的描述出硬件的结构和功能。这种语言的应用至少意味着两种重大的改变:电路的设计竟然可以通过文字描述的方式完成;电子电路可以当作文件一样来存储。随着现代技术的发展,这种语言的效益与作用日益明显,每年均能够以超过30%的速度快速成长。 这次毕
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:473740
    • 提供者:造型
  1. TX-1C_数码管动态扫描显示

    0下载:
  2. TX-1C_数码管动态扫描显示
  3. 所属分类:其它文档

    • 发布日期:2009-03-27
    • 文件大小:193523
    • 提供者:metallic107
  1. vhdprograme

    0下载:
  2. 用vhdl做得CPLD静态两位数码管扫描 显示“10”两位数码管公用段选-CPLD with VHDL done two static scan digital tube displays
  3. 所属分类:Communication

    • 发布日期:2017-04-06
    • 文件大小:248240
    • 提供者:李一倬
  1. chenjiewenkongxitongsheji

    0下载:
  2. 本课题介绍了以AT89C51单片机为核心的温度控制系统的工作原理和设计方法。温度信号由温度芯片DS18B20采集,并以数字信号的方式传送给单片机。文中介绍了该控制系统的硬件部分,包括:温度检测电路、温度控制电路、温度显示电路、报警电路和一些接口电路 。单片机通过对信号进行相应处理,从而实现温度控制的目的。文中还以图文并茂的方式着重介绍了各部分的电路组成和软件设计部分,在这里采用模块化结构,主要模块有:主程序、数码管显示子程序、键盘扫描及按键处理子程序、温度设定子程序、以及有关DS1820的程序。
  3. 所属分类:Project Design

    • 发布日期:2017-04-25
    • 文件大小:237335
    • 提供者:陈杰
  1. shumaguandongtaisaomiao

    0下载:
  2. 数码管动态扫描 数码管动态扫描 -Digital control of dynamic scanning
  3. 所属分类:File Formats

    • 发布日期:2017-04-11
    • 文件大小:541
    • 提供者:ruinangel
  1. yejing

    0下载:
  2. 时钟液晶显示,DS18B20我能读传感器,数码管显示,键盘扫描-Clock LCD display, DS18B20 I can read sensors, digital tube display, keyboard scan
  3. 所属分类:File Formats

    • 发布日期:2017-04-05
    • 文件大小:44113
    • 提供者:黄路平
  1. diode

    0下载:
  2. c 数码管动态扫描演示单片机 c语言-diode
  3. 所属分类:Software Testing

    • 发布日期:2017-03-29
    • 文件大小:9660
    • 提供者:aa
  1. LESSON4

    0下载:
  2. 动态显示的特点是将所有位数码管的段选线并联在一起,由位选线控制是哪一位数码管有效。这样一来,就没有必要每一位数码管配一个锁存器,从而大大地简化了硬件电路。选亮数码管采用动态扫描显示。所谓动态扫描显示即轮流向各位数码管送出字形码和相应的位选,利用发光管的余辉和人眼视觉暂留作用,使人的感觉好像各位数码管同时都在显示。动态显示的亮度比静态显示要差一些,所以在选择限流电阻时应略小于静态显示电路中的。 -Dynamic display features all the bits of digital
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:243336
    • 提供者:小北
  1. scanning-circuit

    0下载:
  2. 扫描显示电路 用于七段数码管的扫描显示,是fpga编程的基础,有助于从事可编程逻辑器件的学习-Scan display circuit for the seven-segment LED display scan is based fpga programming, programmable logic devices will help in learning
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:2663
    • 提供者:zhaohong
  1. Text1

    0下载:
  2. 利用动态扫描和定时器1在数码管上显示从765432开始以0.1秒的速度往下递减直至765398并保持显示此数, 与此同时利用定时器0以0.5秒速度进行流水灯从左到右移动,当数码管上的数减到停止时,流水灯也停止,然后闪烁3秒后发光二极管关闭,数码管显示“HELLO”,并保持住.-Dynamic scan and Timer 1 in the digital display to 0.1 seconds from the 765,432 rate began decreasing down an
  3. 所属分类:software engineering

    • 发布日期:2017-04-02
    • 文件大小:751
    • 提供者:陆地
  1. clocka

    0下载:
  2. 时间可调的小程序,带有按键扫描。数码管动态显示。-Time is adjustable small program with key scan. Digital dynamic display.
  3. 所属分类:Communication

    • 发布日期:2017-11-20
    • 文件大小:904
    • 提供者:宋立杰
  1. freq

    0下载:
  2. vhdl八位十进制数字频率计的设计,顶层和数码管扫描模块-vhdl eight decimal digital frequency meter design, top-level and digital tube scanning module
  3. 所属分类:software engineering

    • 发布日期:2017-11-25
    • 文件大小:2179
    • 提供者:zrf
  1. exp_4

    0下载:
  2. 数码管扫描,安123456的顺序进行循环扫描-Digital tube scanning, Ann 123456 order cycle scan
  3. 所属分类:Software Testing

    • 发布日期:2017-12-06
    • 文件大小:46476
    • 提供者:huzidiaoyu
  1. licheng

    0下载:
  2. C51单片机上,实现数码管动态扫描的简单的C++程序,适合初学者-The C51 microcontroller and the digital tube the dynamic scanning C++ program
  3. 所属分类:Software Testing

    • 发布日期:2017-11-11
    • 文件大小:1782
    • 提供者:duyamei
  1. scan_led3

    0下载:
  2. 用VHDL语言写的数码管扫描电路。压缩包中还包括多路复用器、译码器和计数器。-VHDL language used to write the digital scanning circuit. Compressed package also includes multiplexers, decoders and counters.
  3. 所属分类:Project Design

    • 发布日期:2017-04-23
    • 文件大小:499386
    • 提供者:罗克
  1. 21_dig

    0下载:
  2. 劢忞数码管扫描,算是定时器癿应用吧,单片机上绉常看得刡,我移植过来了.-digital dig,dynamic scanning,refresh data
  3. 所属分类:software engineering

    • 发布日期:2017-03-31
    • 文件大小:1614
    • 提供者:wsh
  1. vhdlll

    0下载:
  2. 八位数码管扫描显示程序,要求显示12345678 间隔四秒显示56789ABC 间隔四秒显示3456789A 再隔4秒显示 -LIBRARY IEEE USE IEEE.STD_LOGIC_1164.ALL USE IEEE.STD_LOGIC_UNSIGNED.ALL ENTITY chenyongqiang IS PORT ( CLK : IN STD_LOGIC SG : OUT STD_LOGIC_VECTOR(6 DOWNTO 0) 段控制信号输出
  3. 所属分类:software engineering

    • 发布日期:2017-04-06
    • 文件大小:819
    • 提供者:hsing
« 12 »
搜珍网 www.dssz.com