CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 软件工程 搜索资源 - 数字锁相环

搜索资源列表

  1. PLLprogram

    0下载:
  2. 数字锁相环程序,适合于FM、AM开发 数字锁相环程序,适合于FM、AM开发-DPLL procedures for FM, AM Development DPLL procedures for FM, AM Development
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:31029
    • 提供者:whuasan
  1. 010919.pdf

    0下载:
  2. 全数字锁相环VHDL描述并实现功能仿真,另附有图形说明-DPLL VHDL descr iption and achieve functional simulation, followed by graphic shows
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:287252
    • 提供者:巢海步
  1. 200761311574149479

    0下载:
  2. 介绍了如何使用数字锁相环,如何用VHDL实现数字锁相环-on how to use the DPLL, how to use VHDL DPLL
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:63234
    • 提供者:zhaojia
  1. DPLL

    0下载:
  2. 介绍了一宽带的数字锁相环的实现方法,欢迎大家踊跃下载
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:1109954
    • 提供者:皱接
  1. digtal_radio_design

    0下载:
  2. 数字式调频收音机设计 介绍利用数字锁相频率合成技术构成收音机的电调谐部分并阐述了收音机的调台、选台、搜索与存储等功能的电路设计原理,着重介绍了用收音机集成芯片CXA1019S构成的FM电路、频率合成器芯片BU2614构成的锁相环电路。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:164375
    • 提供者:love2008
  1. ShuZiSuoXiangHuan

    0下载:
  2. 数字锁相环数学模型,对有研究信号调制的数学建模.
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:132192
    • 提供者:杨文博
  1. DPLL_Circuit

    0下载:
  2. 本文在说明全数字锁相环的基础上,提出了一种利用FPGA设计一阶全数字锁相环的方法,并 给出了关键部件的RTL可综合代码,并结合本设计的一些仿真波形详细描述了数字锁相环的工作过程,最后对一些有关的问题进行了讨论。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:287248
    • 提供者:wangyunshann
  1. dpll_fpga

    0下载:
  2. 基于FPGA设计数字锁相环,提出了一种由微分超前/滞后型检相器构成数字锁相环的Verilog-HDL建模方案
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:504598
    • 提供者:Zoe
  1. pll

    0下载:
  2. 数字锁相环教案。 数字锁相环教案。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:152716
    • 提供者:wang sheng
  1. PLL

    1下载:
  2. 国外一篇很好的数字锁相环(PLL)设计文档(解压后PLL.pdf),不可不看呦!
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:352799
    • 提供者:li
  1. DigitalPLL

    0下载:
  2. 介绍数字锁相环的基本结构,详细分析基于FPGA的数字锁相环的鉴相器、环路滤波器、压控振荡器各部分的实现方法,并给出整个数字锁相环的实现原理图。仿真结果表明,分析合理,设计正确。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:432317
    • 提供者:萝卜
  1. dxz

    0下载:
  2. 低相噪、低杂波数字锁相环路滤波器的设计,caj格式,下载前请安装相应阅读器-Low phase noise, low-noise digital phase-locked loop filter design, caj format, download the pre-install the corresponding reader
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:44776
    • 提供者:网天才
  1. 111

    1下载:
  2. 数字鉴相器,数字锁相环频率合成系统FPGA的实现,很有借鉴价值-Digital phase detector, digital PLL frequency synthesizer system FPGA realization of referential value
  3. 所属分类:Project Design

    • 发布日期:2017-04-05
    • 文件大小:53881
    • 提供者:颜小山
  1. 2009

    0下载:
  2. 智能全数字锁相环的设计,基于FPGA实现。-Intelligent all-digital phase-locked loop design, FPGA-based implementation.
  3. 所属分类:Project Design

    • 发布日期:2017-04-27
    • 文件大小:189022
    • 提供者:陈成
  1. fjq1

    0下载:
  2. 介绍了在数字语音通信中, 利用在系统可编程技术和复杂可编程逻辑器件CPLD, 实现了数字语音的复接和分接 对于其中的单稳态电路的数字化和数字锁相环提取位同步信号也进行了详细的设计说明。实际应用结果表明, 系统工作稳 定可靠, 设计是成功的。-Describes the digital voice communications, the use of in-system programmable technical and complex programmable logic devic
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:261567
    • 提供者:renxiang
  1. Nl-diystem

    0下载:
  2. 新型全数字锁相环在无功补偿系统中的应用New all-digital PLL in reactive power compensation system-New all-digital PLL in reactive power compensation system
  3. 所属分类:Project Design

    • 发布日期:2017-04-04
    • 文件大小:624288
    • 提供者:han7510
  1. Costas-matlab

    1下载:
  2. 针对扩频系统的载波同步, 研究了数字Costas 环的设计和实现方法。介绍了数字Costas 环的结构、实现 载波同步的基本方法。以二阶环为例, 分析了数字锁相环的环路滤波器的参数设计方法, 为数字Costas 环的设计提 供了参考。提出了在高速信号处理板( 以FPGA 和DSP 为基础) 中数字Costas 环的实现方案, 经工程验证, 能够实现 载波同步, 解调出所需信号。-Design and Implementation of Digital Costas-loop
  3. 所属分类:software engineering

    • 发布日期:2017-03-26
    • 文件大小:204924
    • 提供者:ningxiaomeng
  1. Matlab-about-pll

    1下载:
  2. 。在总结前人提出的一些锁相环仿真模型的基础上,用Matlab 语言构建了一种新的适用于全 数字锁相环的仿真模型 对全数字锁相环版图进行了SPICE 仿真,与该模型的仿真结果相验证。-. Built using Matlab language summary of some of the previously proposed phase-locked loop simulation model based on a simulation model of a new applicable t
  3. 所属分类:Software Testing

    • 发布日期:2017-12-03
    • 文件大小:258944
    • 提供者:dashu
  1. SHUZIPLL

    1下载:
  2. 关于数字锁相环的一篇文章,详细说明了数字锁相环的原理,还有仿真-Article on digital phase-locked loop, the detailed descr iption of the principle of a digital phase-locked loop, as well as simulation
  3. 所属分类:Project Design

    • 发布日期:2017-12-02
    • 文件大小:2545132
    • 提供者:huhai
  1. ADLL-verilog-code

    0下载:
  2. 数字锁相环的设计代码,完整的,希望能帮到大家-PLL phase-locked loop
  3. 所属分类:software engineering

    • 发布日期:2017-11-09
    • 文件大小:1286
    • 提供者:zheng chao
« 12 »
搜珍网 www.dssz.com