CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 软件工程 搜索资源 - 自动售货机

搜索资源列表

  1. ddddQUA

    1下载:
  2. 这部分阐述了自动售货机的各种动作功能和控制要求,给出了完整的自动售货机操作规程,并介绍了自动售货机运行系统种所包括的人工操作步骤
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:383595
    • 提供者:陆志平
  1. DrinkSellMachine

    0下载:
  2. 饮料自动售货机,采用状态设计模式模拟饮料自动售货机
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:48070
    • 提供者:JELL
  1. Vending

    0下载:
  2. 自动售货机:该项目采用c++ .net 编写,主窗体form1 表示售货机的整个外观,它包含3个货柜(用3个picturebox 演示),货柜下方显示商品的单价,当点击窗体的非控件点时,会自动显示各个商品的价格
  3. 所属分类:Software Testing

    • 发布日期:2017-04-08
    • 文件大小:326486
    • 提供者:李江涛
  1. zishj

    0下载:
  2. 设计一个自动售货机控制程序,它的投币口每次可以投入1元、2元、5元,且规定投入1元或2元后不得再投入5元。当投入总值等于或超过设定值(4元),售货机就自动送出货物并找回多余的钱。-Design a vending machine control program, which each time slot to be injected into the 1 yuan, 2 yuan, 5 yuan, and provides input 1 yuan or 2 yuan may not re-en
  3. 所属分类:Project Design

    • 发布日期:2017-04-03
    • 文件大小:44603
    • 提供者:asd
  1. AutomatSystemRequirementSpecification

    0下载:
  2. 软件工程项目小组的自动售货机系统需求规格说明书。-Software engineering project team vending machine system requirements specification.
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:559273
    • 提供者:baby
  1. ICCAVR

    0下载:
  2. 所属分类:software engineering

    • 发布日期:2017-04-05
    • 文件大小:161806
    • 提供者:hill
  1. 8

    0下载:
  2. 8[1] .21 自动售货机 VHDL程序 与仿真.doc-8 [1] [1] .21 vending machine and simulation of VHDL procedures. Doc
  3. 所属分类:software engineering

    • 发布日期:2017-04-25
    • 文件大小:143390
    • 提供者:fufeifei
  1. vhdl

    1下载:
  2. EDA 自动售货机 包涵源程序和仿真原理图。课程设计内容-EDA vending machine
  3. 所属分类:Project Design

    • 发布日期:2017-04-02
    • 文件大小:61643
    • 提供者:WX
  1. chengxusheji

    0下载:
  2. 自动售货机的C编程 可以实现自动找零 实现无人监守-zidong shouhuoji de C biancheng
  3. 所属分类:software engineering

    • 发布日期:2017-03-27
    • 文件大小:2539
    • 提供者:弗兰克斯
  1. autoseller

    0下载:
  2. 自动售货机的对象模型动态模型以及功能模型-Vending machine' s object model, dynamic model and functional model of
  3. 所属分类:software engineering

    • 发布日期:2017-03-24
    • 文件大小:87161
    • 提供者:韩笑
  1. vendingmachinvhdl

    0下载:
  2. 自动售货机的程序代码和仿真文件,挺有用的-Vending machine program code and simulation files, winter ridge used
  3. 所属分类:software engineering

    • 发布日期:2017-04-04
    • 文件大小:184164
    • 提供者:李明
  1. Vendingmachine

    0下载:
  2. 自动售货机控制系统设计思路及VHDL控制程序 -Vending machine control system design concept and control procedures VHDL
  3. 所属分类:Project Design

    • 发布日期:2017-03-28
    • 文件大小:90806
    • 提供者:Section
  1. autoDrinkMachine

    0下载:
  2. MFC可视化界面,实现饮料自动售货机功能、利用C++编写。-MFC visual interface, to achieve functional beverage vending machines, using C++ to write.
  3. 所属分类:software engineering

    • 发布日期:2017-04-08
    • 文件大小:51519
    • 提供者:JH
  1. ourdev_574256

    0下载:
  2. 自动售货机在modelsim下的仿真与实现,用vhdl编写-Vending machines under the modelsim simulation and implementation using vhdl write
  3. 所属分类:software engineering

    • 发布日期:2017-06-02
    • 文件大小:14439128
    • 提供者:白拉菲
  1. Verilong_HDL

    0下载:
  2. 此设计文档详细说明了自动售货机的实现过程,包括各种图表,源代码,仿真波形等。-This design documents detail the vending machine, including the realization process of various chart, the source code, and the simulation waveform, etc.
  3. 所属分类:Project Design

    • 发布日期:2017-04-06
    • 文件大小:290756
    • 提供者:chenx
  1. half_clk.v

    0下载:
  2. 自动售货机代码,可实现 0.5 1.0 2.0 输入售出1.0 2.5 3.0 元货物-Vending machine code, enabling input 0.5 1.0 2.0 1.0 2.5 3.0 yuan of goods sold
  3. 所属分类:Software Testing

    • 发布日期:2017-11-20
    • 文件大小:1871
    • 提供者:eee
  1. vhdl

    0下载:
  2. 利用VHDL编写的简易自动售货机。本次设计的自动售货机只销售cola与pepsi两种饮料,售价均为1.5元。顾客可以由两个不同投币孔分别投入5角硬币或1元硬币。一次交易只能购买一瓶,且自动找零。只要按下取消键就会马上无条件退币。-Using a simple vending machine in VHDL. The design of the vending machines selling cola and pepsi only two drinks, both at 1.5 yuan. Cu
  3. 所属分类:software engineering

    • 发布日期:2017-04-16
    • 文件大小:60332
    • 提供者:
  1. VHDLSHOUHUOJI

    0下载:
  2. 北邮利用VHDL编写的简易自动售货机的实验报告。能够完成自动投币,购买,报警等功能,并且有报警灯。-BUPT lab report summary prepared using VHDL vending machine. Automatic coin to complete the purchase, alarm functions, and alarm lights.
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:201568
    • 提供者:
  1. PLC--yuan

    0下载:
  2. 自动售货机是无需销售人员,可根据消费者投入钱币计算价格自动贩卖商品的智能机器。-The vending machines are intelligent machines that can calculate the prices of goods according to the consumers’ inputs and vend goods without a sales staff.
  3. 所属分类:Project Design

    • 发布日期:2017-05-02
    • 文件大小:585199
    • 提供者:敏敏
  1. Verilog

    0下载:
  2. Verilog课程设计自动售货机 1)设计一个自动售货机,此机能出售1.5元、2元两种商品。出售哪种商品可有顾客按动相应的一个按键即可,并同时用数码管显示出此商品的价格。可同时购买两种、多件商品。 2)顾客投入硬币的钱数有5角、1元两种。此操作通过按动相应的两个按键来模拟,并同时用数码管将投币额显示出来。 3)顾客投币后,按一次确认键,如果投币额不足时则报警灯亮。如果投币额足够时自动送出货物(送出的货物用相应不同的指示灯显示来模拟),同时多余的钱应找回,找回的钱数用数码管
  3. 所属分类:software engineering

    • 发布日期:2017-04-16
    • 文件大小:83927
    • 提供者:卢晨旭
« 12 »
搜珍网 www.dssz.com