CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 软件工程 搜索资源 - adc0809

搜索资源列表

  1. weijiyuanli

    0下载:
  2. 2位微机实验指导书 华中科技大学计算机学院 武汉市豪申光电新技术有限公司 第一章 基本接口实验 2 第一节 并行接口实验(8255芯片实验) 2 实验一 步进电机控制接口实验 2 第二节 定时/计数实验(8253芯片实验) 9 实验二 音乐发生器接口实验 9 第三节 串行通信接口实验(8251芯片实验) 21 实验三 RS-232标准全双工查询方式异步串行通信
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:1618051
    • 提供者:xiak
  1. ADC0809

    1下载:
  2. 用单片机和adc0809做的数据采集系统,包含源代码和Proteus软件仿真原理图
  3. 所属分类:软件工程

    • 发布日期:2011-05-20
    • 文件大小:918016
    • 提供者:renshangjie
  1. 基于ADC0809的电压表设计汇编程序

    0下载:
  2. 基于ADC0809的电压表设计汇编程序
  3. 所属分类:软件工程

  1. adc0809

    0下载:
  2. adc0809芯片资料,专用文档,有设计用例,模拟数字转换专用-adc0809 chip information, special documents, use case design, analog-digital converter dedicated
  3. 所属分类:Project Design

    • 发布日期:2017-04-24
    • 文件大小:227589
    • 提供者:toumingdeshui
  1. dujojfd

    2下载:
  2. 本文提出了一种基于AT89S51和模数转换芯片ADC0809的数据采集系统的设计与实现方案。主要从硬件电路设计、数据采集程序设计2个方面进行了详细阐述,其中硬件电路设计部分结合具体芯片,详细的介绍了数据采集系统各部分硬件接口电路的设计。设计中利用51单片机控制A/D转换器构成采样模块,实现对信号的采集,采样后的数据通过LED显示出来。本论文设计并实现了一种数据采集系统,具有简单可靠、使用方便、扩展性强等特点。-This paper presents a AT89S51-based and ana
  3. 所属分类:Project Design

    • 发布日期:2014-05-31
    • 文件大小:256154
    • 提供者:fujun
  1. 51MCU

    0下载:
  2. 介绍了一种以MCS 51单片机为主控器,以ADC0809为核心,以气压、油压、温度、霍尔元件等传感器为主要外围元件的车用数字仪表(VDI)的设计与实现。该仪表系统具有显示直观、准确,使用方便可靠等优点,代表了车用仪表的最新发展趋势。-To introduce a single-chip microcomputer-based controller MCS 51 to ADC0809 as the core, pressure, oil pressure, temperature, the Hal
  3. 所属分类:software engineering

    • 发布日期:2017-04-29
    • 文件大小:77591
    • 提供者:bbbb
  1. dso

    0下载:
  2. 使用VHDL语言编写的简易数字存储示波器,用MAX+PlusII仿真验证。VHDL编写了采样、存储写、存储读和显示4个模块。采样使用ADC0809,存储器使用6264,显示使用DAC0832。-The design of the chip as a high-speed signal ADC0809 the A/D converter, SRAM6264 memory for data storage after sampling, DAC0832 chip as a signal of D/A
  3. 所属分类:Project Design

    • 发布日期:2017-03-27
    • 文件大小:502285
    • 提供者:兰江营
  1. 89C51

    0下载:
  2. 简易数字电压表的设计 采用adc0809 c51-adc0809 c51
  3. 所属分类:Project Design

    • 发布日期:2017-04-25
    • 文件大小:147198
    • 提供者:eskmx
  1. ADC0809

    0下载:
  2. (1). 进行A/D转换时,采用查询EOC的标志信号来检测A/D转换是否完毕,若完毕则把数据通过P0端口读入,经过数据处理之后在数码管上显示。-For A/D conversion using query EOC signal to detect signs of the A/D conversion is completed, if completed through the P0 port while the data read through data processing in the
  3. 所属分类:Project Design

    • 发布日期:2017-04-02
    • 文件大小:23027
    • 提供者:sanmu
  1. Text2

    0下载:
  2. ADC0809用1602显示的数字电压表-ADC0809 with 1602 display digital voltmeter
  3. 所属分类:Software Testing

    • 发布日期:2017-03-31
    • 文件大小:694
    • 提供者:张小明
  1. 8051+ADC0809

    0下载:
  2. adc0809 with 8051 interface lcd232
  3. 所属分类:Project Design

    • 发布日期:2017-04-25
    • 文件大小:34371
    • 提供者:bal
  1. adc0809

    0下载:
  2. at89s51 with the design of a digital voltmeter adc0809
  3. 所属分类:software engineering

    • 发布日期:2017-04-25
    • 文件大小:87639
    • 提供者:王思然
  1. ADC0809

    0下载:
  2. 用da0832输出锯齿波内含代码和仿真图-Da0832 output with embedded code and simulation of sawtooth map
  3. 所属分类:software engineering

    • 发布日期:2017-04-04
    • 文件大小:184036
    • 提供者:陈腾
  1. ADC

    0下载:
  2. 基于单片机的多路数据采集系统的设计方案,采用的是AD0809和51单片机,及LED液晶显示-To ADC0809 and 8051 as the core of the system, including three parts: data collection, data processing and display, the terminal receiver. Including its control, display, A/D converter, power conversion i
  3. 所属分类:Project Design

    • 发布日期:2017-04-03
    • 文件大小:376829
    • 提供者:张超
  1. 8051adc0809led.RAR

    0下载:
  2. 8051 adc0809 4位led-8051 adc0809 4led
  3. 所属分类:software engineering

    • 发布日期:2017-04-17
    • 文件大小:66845
    • 提供者:coral
  1. ADC0809

    0下载:
  2. ADC0809 是8 位逐次逼近型A/D转换器。它由一个8路模拟开关、一个地址锁存译码器、一个A/D 转换器和一个三态输出锁存器组成(见图1)。多路开关可选通8个模拟通道,允许8 路模拟量分时输入,共用A/D 转换器进行转换。三态输出锁器用于锁存A/D 转换完的数字量,当OE 端为高电平时,才可以从三态输出锁存器取走转换完的数据。-ADC0809 8-bit successive approximation A/D converter. It consists of an 8-channel a
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:226161
    • 提供者:lanying
  1. 8.4-ADC0809

    0下载:
  2. adc0809的一般的描述,与单片机的连接及其相对应的真值表-adc0809 general descr iption of the connection with the microcontroller and its corresponding truth table
  3. 所属分类:Project Design

    • 发布日期:2017-04-08
    • 文件大小:65193
    • 提供者:lijie
  1. ADC0809

    0下载:
  2. 介绍ADC0809的情况,包括引脚的分布和程序的开发-Introduction of the ADC0809, including the distribution of the pin and program development
  3. 所属分类:software engineering

    • 发布日期:2017-04-07
    • 文件大小:129110
    • 提供者:薛丽萍
  1. ADC0809

    0下载:
  2. ADC0809 VHDL代码的顺序控制、输入时钟模块默认为100赫兹 -ADC0809 VHDL code of sequence control, the input clock module defaults to 100 hz
  3. 所属分类:software engineering

    • 发布日期:2017-11-05
    • 文件大小:862
    • 提供者:Kevin
  1. ADC0809

    0下载:
  2. 这是一份关于ADC0809的资料,里面有本人亲自写的程序,并有调试过程,适用于初学者。-This is about a ADC0809, which has personally written procedures, and debugging process for beginners.
  3. 所属分类:software engineering

    • 发布日期:2017-04-25
    • 文件大小:49178
    • 提供者:标叔
« 12 »
搜珍网 www.dssz.com