CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 文件格式 搜索资源 - 计数器

搜索资源列表

  1. AT89C51

    0下载:
  2. 随着微电子技术的不断发展,微处理器芯片的集成程度越来越高,单片机已可以在一块芯片上同时集成CPU、存储器、定时器/计数器、并行和串行接口、看门狗、前置放大器、A/D转换器、D/A转换器等多种电路,这就很容易将计算机技术与测量控制技术结合,组成智能化测量控制系统。这种技术促使机器人技术也有了突飞猛进的发展,目前人们已经完全可以设计并制造出具有某些特殊功能的简易智能机器人。-With the continuous development of microelectronic technology,
  3. 所属分类:File Formats

    • 发布日期:2017-04-17
    • 文件大小:65175
    • 提供者:林飞
  1. devider_design

    0下载:
  2. Abstract循序电路第一个应用是拿来做计数器((笔记) 如何设计计数器? (SOC) (Verilog) (MegaCore)),有了计数器的基础后,就可以拿计数器来设计除频器,最后希望能做出能除N的万用除频器。-Abstract The first application of sequential circuits are used to make counter ((notes) How to design a counter? (SOC) (Verilog) (MegaCore)),
  3. 所属分类:File Formats

    • 发布日期:2017-03-29
    • 文件大小:39651
    • 提供者:王媛媛
  1. VHDL

    0下载:
  2. eda课程,包括数码管显示,可变步长计数器的编写-eda courses, including digital display, variable-step preparation of counter
  3. 所属分类:File Formats

    • 发布日期:2017-04-27
    • 文件大小:384731
    • 提供者:lxc
  1. 99seconds

    0下载:
  2. 99秒码表计数器,通过AT89S51控制的秒码表计数器以及C语言与汇编语言编程-Code Table 99 seconds counter, AT89S51 control through the second code table and the counter C programming language and assembly language
  3. 所属分类:File Formats

    • 发布日期:2017-04-03
    • 文件大小:45930
    • 提供者:小卢
  1. jz

    0下载:
  2. 实验一 工业顺序控制 实验二 定时器实验 实验三 串行通信实验 实验四 计数器实验-Experiment 1 Experiment 2 industrial sequence control timer Experiment 3 Experiment 4 Counter serial communication experiment
  3. 所属分类:File Formats

    • 发布日期:2017-04-16
    • 文件大小:50722
    • 提供者:lintao
  1. frequencydivider

    0下载:
  2. 计数器和分频器的PDF资料,供大家参考哈。希望对大家有用-Counter and frequency divider of the PDF information for your reference ha. Want to be useful to everyone
  3. 所属分类:File Formats

    • 发布日期:2017-05-30
    • 文件大小:12595257
    • 提供者:周心驰
  1. hdl

    0下载:
  2. 数字频率计数器,可用四位数码管显示所测试频率。有千位小数点进行量程控制-Digital frequency counter, four digital display can be used for testing frequency. Has 1000 decimal to range control
  3. 所属分类:File Formats

    • 发布日期:2017-04-14
    • 文件大小:5517
    • 提供者:Ivy
  1. Trafficcontrolsystemdesign

    0下载:
  2. ⒈ 了解交通灯管理的基本工作原理 ⒉ 熟悉8259中断控制器的工作原理和应用编程 ⒊ 熟悉8255并行接口的各种工作方式和应用 ⒋ 熟悉8253计数器/定时器的工作方式及应用编程,掌握利用软硬件相结 合定时的方法 ⒌ 掌握多位LED显示问题的解决 -But understand the basic principle of management of traffic The 8259 interrupt controller with the working princi
  3. 所属分类:File Formats

    • 发布日期:2017-04-17
    • 文件大小:57065
    • 提供者:龙行
  1. java3

    0下载:
  2. 实验四:毕达哥拉斯三元组 实验目的:1.嵌套的for循环。 2.使用计数器控制的循环。 3.使用“强力(brute force)”来解决问题。 问题描述:直角三角形的各边长度都可以为整数。这组代表直角三角形各边的整型值称为 毕达哥拉斯三元组。这3条边必须满足如下关系:两条直角边的平方和等于斜边的平方。在1到500的范围内,找出所有适合于side1,side2和hypotenuse的整形毕达哥拉斯三元组。使用一个3层嵌套的for循环尝试所有可能的情况。该程序是“强力
  3. 所属分类:File Formats

    • 发布日期:2017-03-23
    • 文件大小:55079
    • 提供者:哦也啊
  1. timing-integrated

    0下载:
  2. 定时-计数器综合应用电子钟,讲述了如何应用中断定时器-Timed- to the counter integrated application of electronic bell, about how to use the interrupt timer
  3. 所属分类:File Formats

    • 发布日期:2017-04-17
    • 文件大小:141720
    • 提供者:张三
  1. SPI_DAC

    0下载:
  2. 采用CPU Timer0产生定时周期50ms,输出锯齿波使能看门狗,在主函数中刷新计数器-The CPU Timer0 timing cycle of 50ms, the output sawtooth enable the watchdog refresh counter in the main function
  3. 所属分类:File Formats

    • 发布日期:2017-03-30
    • 文件大小:7586
    • 提供者:邱静
  1. 51-cap

    0下载:
  2. 基于AT89C51单片机和555芯片构成的多谐振荡电路设计一电容测量电路,这种测量方法主要是通过一块555芯片来测量电容大小。让555芯片工作在直接反馈无稳态的状态下,555芯片输出一定频率的方波,其频率的大小跟被测量的电容之间的关系是:f=0.772/(R*Cx) ,固定R的大小,其公式就可以写为:f=k/Cx,只要能够测量出555芯片输出的频率,就可以计算出测量的电容。计算频率的方法可以利用单片机的计数器T0和中断INT0配合使用来进行测量。 文件内含有proteus工程及keil工程建
  3. 所属分类:File Formats

    • 发布日期:2017-11-06
    • 文件大小:208702
    • 提供者:瑛来
  1. mcd-lED2

    0下载:
  2. 学习《单键触发8位二进制累加计数器》该程序的目的是让大家熟悉输入、输出端口的使用,该程序的功能是把演示板当做一个按键计数器。刚刚接通电源时,8只发光二极管都不亮。表示计数器初值为0,当按下开关S3(RB0)时,计数器的值加1,发光二极管D3点亮,表示值1,然后松开按钮;再次按下开关S3(RB0)时,计数器的值又加1,发光二极管D4点亮,表示值2,依次类推,反复循环。-Learn " Speed ​ ​ trigger 8-bit binary up-counter&q
  3. 所属分类:File Formats

    • 发布日期:2017-11-20
    • 文件大小:1272
    • 提供者:芊芊
  1. EDA

    0下载:
  2. EDA交通灯程序.学习利用计数器和状态机设计十字路口交通灯控制器。设计一个简单十字路口交通灯控制器。该控制器控制甲乙两道的红、黄、绿三色灯,指挥交通和行人安全通行。-EDA traffic lights program. Learning to take advantage of the counter and state machine design crossroads traffic light controller. Design a simple crossroads traffic
  3. 所属分类:File Formats

    • 发布日期:2017-12-01
    • 文件大小:877905
    • 提供者:xxy
  1. counter

    0下载:
  2. 用VHDL设计一个带加减功能的同步计数器-VHDL design a synchronous counter with addition and subtraction functions
  3. 所属分类:File Formats

    • 发布日期:2017-11-23
    • 文件大小:156672
    • 提供者:柠羽
  1. u3

    0下载:
  2. 计数器改编成非10进制的。具备置数功能,进位输出功能-Counter adapted into a non-decimal. Have set the number of binary output function
  3. 所属分类:File Formats

    • 发布日期:2017-11-18
    • 文件大小:626
    • 提供者:李春辉
  1. cny24

    0下载:
  2. 24进制加法计数器适用于vhdl和quartus-24 binary adder vhdl counter applied and quartus
  3. 所属分类:File Formats

    • 发布日期:2017-04-10
    • 文件大小:1107
    • 提供者:流火
  1. syn_cnter_4_ok_siweijishuqi

    0下载:
  2. 本程序使用vhdl开发的实现四位计数器的功能。(This program uses VHDL developed to achieve the function of four counters.)
  3. 所属分类:文件格式

    • 发布日期:2017-12-15
    • 文件大小:158720
    • 提供者:zhihuidaxian
搜珍网 www.dssz.com