CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 乘法器 VHDL

搜索资源列表

  1. 1.6运算器部件实验:乘法器

    0下载:
  2. 这个是用vhdl编写的乘法器,仅仅供大家参考-VHDL prepared by the multiplier, just for reference
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:150162
    • 提供者:李乐雅
  1. 16位快速乘法器

    1下载:
  2. VHDL语言实现的16位快速乘法器-VHDL of 16 rapid Multiplier
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2964
    • 提供者:乔安山
  1. 经典高速乘法器IP

    1下载:
  2. 乘法器是硬件设计中的很常见也很重要的一个模块,它的VHDL硬件实现很好的解决了软件编程中做乘法速度慢的问题,在实时高速系统应用中或DSP软核或数字信号处理硬件实现算法中,经常能使用到乘法器,所以经典的高速乘法器IP 很有参考价值-Multiplier is a common and important module in hardware designing.Its VHDL addresses the low speed of multiplication in software progra
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:309363
    • 提供者:czy
  1. 嵌入式系统试验报告-乘法器-VHDL语言

    0下载:
  2. 嵌入式系统的乘法器试验报告 包括源代码 用VHDl语言编写-Embedded System multiplier test report including source code language used VHDl
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:9869
    • 提供者:康抗
  1. VHDL语言100例(普通下载)

    4下载:
  2. VHDL语言100例 VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19
  3. 所属分类:书籍源码

    • 发布日期:2009-04-15
    • 文件大小:344064
    • 提供者:wfl.a@163.com
  1. 移动8位乘法器

    0下载:
  2. vhdl 乘法器
  3. 所属分类:VHDL编程

    • 发布日期:2011-06-02
    • 文件大小:22353
    • 提供者:fengwutianya
  1. Multiplier.rar

    0下载:
  2. 乘法器 所占资源很少 很好的一个乘法器 史书上的一个例子 说得很好啊,Multiplier good share of scarce resources in the history books on a multiplier an example of very good
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:358142
    • 提供者:jack yao
  1. mux4

    0下载:
  2. 四位乘法器的VHDL语言设计,并有原理图的描述-4 Multiplier VHDL language design, and schematic descr iption of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:204235
    • 提供者:望天
  1. VHDL语言写的简易计算器

    3下载:
  2. 用VHDL写的简易计算器,包括加减乘除,除法器用加法器和乘法器组成-Write simple calculator with VHDL, division, including add, subtract, multiply and divide adder on time-multiplier and used
  3. 所属分类:VHDL编程

    • 发布日期:2013-05-22
    • 文件大小:1018784
    • 提供者:倪萍波
  1. mul(FLP)

    2下载:
  2. 一个32位元的浮点数乘法器,可将两IEEE 754格式的值进行相乘-A 32-bit floating-point multipliers, can be two format IEEE 754 values multiplied
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1765
    • 提供者:TTJ
  1. multiplexer

    0下载:
  2. 几种常用乘法器的Verilog、VHDL代码-Several common multiplier Verilog, VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:267476
    • 提供者:kk
  1. chengfaqi4

    0下载:
  2. 用VHDL实现四位乘法器,不直接用乘法实现,一来节省资源,二来可提高速度!-Use VHDL to achieve four multiplier, not the realization of the direct use of multiplication, one to save resources, and secondly to improve the speed!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1365
    • 提供者:
  1. multi

    0下载:
  2. 基于CPLD/FPGA的十六位乘法器的VHDL实现-Based on CPLD/FPGA multiplier of 16 to achieve the VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:696262
    • 提供者:peter
  1. Mul

    0下载:
  2. VHDL乘法器 四输入 四输出的代码设计-VHDL multiplier four input four-output code design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:146993
    • 提供者:邵尉
  1. Multi11Mulply

    0下载:
  2. 本程序是11位带符号位的乘法器,其中最高位为符号位(sign),中间7位是指数部分(Exponent),最后3位是尾数(Matissa)。表示数据的范围是-2^-63-----+2^64.该工程文件有完整的程序,以及波形,验证正确。-This procedure is the unsigned 11-bit multiplier, one of the highest for the sign bit (sign), are between 7 part Index (Exponent), th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:445206
    • 提供者:至诚
  1. multi8x8

    0下载:
  2. VHDL实现的8位乘法器,所有仿真全部通过-VHDL to achieve 8-bit multiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:250907
    • 提供者:张四全
  1. VHDL

    0下载:
  2. VHDL对各种电路的基本实现,包括乘法器,触发器,加减法器等-VHDL for the basic realization of the various circuits, including multipliers, flip-flops, and other instruments used in addition and subtraction
  3. 所属分类:source in ebook

    • 发布日期:2017-04-10
    • 文件大小:1139139
    • 提供者:Michael
  1. 16bit_mult

    0下载:
  2. 16位的无符号数乘法器,自己写的,经得起验证,注释很详细-16-bit unsigned multiplier, its own written
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:323995
    • 提供者:郭富民
  1. VHDL

    0下载:
  2. 本代码为用VHDL语言设计实现加法器、减法器、乘法器,并提供了模块图,进行了波形仿真。-This code is for the use of VHDL Language Design and Implementation of adder, subtracter, multiplier, and provides a block diagram carried out a wave simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:14983
    • 提供者:张霄
  1. 矩阵乘法器

    1下载:
  2. 基于乘法器ip核实现的矩阵乘法器,最大支持16*16的矩阵,基于VHDL编写,仅支持整数,浮点数类型请自行添加浮点数IP核支持。
  3. 所属分类:VHDL编程

    • 发布日期:2019-09-01
    • 文件大小:17306253
    • 提供者:hhhhhppppp
« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com