CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 电子钟 vhdl

搜索资源列表

  1. VHDL

    0下载:
  2. VHDL数字钟 数字电子钟 此数字电子钟具有的功能包括: 1. 计时,时、分、秒显示; 2. 十二小时与二十四小时之间的转换; 3. 上下午显示; 4. 对时、分、秒的校时功能;
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2014-01-17
    • 文件大小:2723
    • 提供者:HJGJGHK
  1. vhdl

    0下载:
  2. VHDL是Very High Speed Integrated Circuit Hardware Descr iption Language的缩写, 意思是超高速集成电路硬件描述语言。对于复杂的数字系统的设计,它有独特的作用。它的硬件描述能力强,能轻易的描述出硬件的结构和功能。这种语言的应用至少意味着两种重大的改变:电路的设计竟然可以通过文字描述的方式完成;电子电路可以当作文件一样来存储。随着现代技术的发展,这种语言的效益与作用日益明显,每年均能够以超过30%的速度快速成长。 这次毕
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:473740
    • 提供者:造型
  1. 基于VHDL的电子钟

    0下载:
  2. 基于VHDL的电子钟 实现一个简单的电子钟,可显示其时间时分秒和年月日,通过按键交替显示
  3. 所属分类:VHDL编程

    • 发布日期:2013-01-25
    • 文件大小:346534
    • 提供者:连连
  1. 电子钟clock

    1下载:
  2. 用VHDL语言来实现一个电子时钟,可以调时间。小时,分,秒。可以下载到实验箱来运行验证。-use VHDL to achieve an electronic clock, the time can be set aside. Hours, minutes and seconds. Experiments can be downloaded to the box to run test.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:353052
    • 提供者:刘卫
  1. 电子钟VHDL

    1下载:
  2. 这是一个电子钟的VHDL源程序、包括分频、秒计时、分计时、时计时、数码管显示、较时等部分
  3. 所属分类:其它

    • 发布日期:2009-09-09
    • 文件大小:7261
    • 提供者:huabiao360
  1. 基于verilog HDL语言的电子钟

    0下载:
  2. 基于verilog HDL语言的电子钟,多功能电子时钟,Verilog HDL language-based electronic bell, electronic multi-function clock
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-28
    • 文件大小:185082
    • 提供者:哈哈
  1. 基于fpga的多功能电子钟的设计

    0下载:
  2. 基于fpga的多功能电子钟的设计非常使用希望对大家有帮助啊,FPGA-based multi-functional electronic clock design to use would like to help everyone ah
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-22
    • 文件大小:347738
    • 提供者:xhb
  1. digclock.rar

    0下载:
  2. 多功能电子钟 报时 闹钟 设置时间,Multi-functional electronic time clock alarm clock setup time
  3. 所属分类:Project Design

    • 发布日期:2017-03-28
    • 文件大小:342128
    • 提供者:耿康宁
  1. shuzi.rar

    0下载:
  2. 数字电子钟设计,整点报时,时分秒分模块设计,另附实验报告和实验结果,内容详细不容错过,The design of digital electronic clock, the whole point of time when minutes and seconds sub-module design, an additional test reports and laboratory test results, the details not to be missed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1312621
    • 提供者:洪栋
  1. VHDL_clock.rar

    0下载:
  2. 用VHDL写的数字电子钟的实例,采用的是altera的FPGA芯片,VHDL examples of digital electronic clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:6437
    • 提供者:zhangwei
  1. VHDLDATACLOCK

    1下载:
  2. 本课程设计完成了数字电子钟的设计,数字电子钟是一种用数字显示秒、分、时的计时装置,由于数字集成电路技术的发展和采用了先进的石英技术,它使数字钟具有走时准确、性能稳定、携带方便等优点。数字钟已成为人们日常生活中必不可少的必需品,广泛用于个人家庭以及办公室等公共场所,给人们的生活带来极大的方便。在这里我们将已学过的比较零散的数字电路的知识有机的、系统的联系起来用于实际,来培养我们的综合分析和设计电路的能力。-VHDL dataclock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:774086
    • 提供者:li
  1. 5

    0下载:
  2. 用VHDL语言实现电子钟-Using VHDL language electronic bell
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:364887
    • 提供者:chenyanli
  1. digitalclock.vhd

    0下载:
  2. 实现电子钟的功能,使用VHDL编程语言,调试已经通过-Electronic clock function, the use of VHDL programming language, debugging has been passed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1773
    • 提供者:carsu
  1. vhdl-digital-clock-design

    0下载:
  2. 设计一个具有特定功能的数字电子钟。准确计时,以数字形式显示h、min、s 的时间。小时的计时要求为二十四进位,分和秒的计时要求为六十进位。 该电子钟上电或按键复位后能自动显示系统提示00-00-00,进入时钟准备状态;第一次按电子钟功能键,电子钟从0时0分0秒开始运行,进入时钟运行状态;再次按电子钟功能键,则电子钟进入时钟调整状态,此时可利用各调整键调整时间,调整结束后可按功能键再次进入时钟运行状态。 -Designed with a specific function of a dig
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-28
    • 文件大小:6365
    • 提供者:andy
  1. vhdl

    0下载:
  2. 6位LED电子钟,非常实用实做过实验,自动报时,秒表-6 LED electronic clock, very useful experiment is done, automatic timer, stopwatch. . .
  3. 所属分类:Document

    • 发布日期:2017-04-14
    • 文件大小:4230
    • 提供者:王睿
  1. clock

    0下载:
  2. 一个简单那的数字电子钟 VHDL的,很简单,适合刚入门的新手练习-It' s a simple VHDL digital electronic clock, simply put, the new entry just for practice
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1028526
    • 提供者:假老练
  1. 61EDA_D1094

    0下载:
  2. 电子钟 1. 具有以二十四小时制计时、显示、整点报时、时间设置和闹钟的功能。 2. 射击精度要求为1s-jfh dfjhjd dsf dfj sfjhu sdjah jfd djfhdju jk d f df d f df d f dfs dd f d fd df dd dfdfd fd df d d df d d df df dfsfere sdf3df
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-04
    • 文件大小:1522666
    • 提供者:chenqi
  1. 3128(vhdl)

    0下载:
  2. 里面均为用VHDL写的一些经典小程序,经过了验证均能很好的运行,一下为这些小程序的清单,希望能给大家能带来帮助: t1流水灯 t2 蜂鸣器实验 t3 拨码开关实验 t4 PWM控制LED亮度程序 t5 状态机实现流水灯 t6 静态数码管显示 t7 按键0-99计数程序 t8 红外实验 t9 0—99计数实验 t10 矩阵键盘显示 t11点阵 t12 PS2键盘识别 t13 ADC0804模拟量转化数字量实验 t14电子钟 t15 串口
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4148424
    • 提供者:熊文吉
  1. vhdl-digital-clock

    0下载:
  2. 数字电子钟VHDL 能够实现小时(24进制)、分钟和秒钟(60进制)的计数功能 具有复位功能 功能扩展:具有复位、整点报时提示、定时闹钟等功能 方案: 对数字电子钟的功能进行分析,确定出所需要的各个模块,再通过顶层文件的设计,把各个模块例化综合起来,形成一个完整的电子钟。 -VHDL to implement digital electronic clock hours (24 hex), minutes and seconds (60 decimal) of th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:77494
    • 提供者:陈添
  1. vhdl编程电子钟

    0下载:
  2. 实现24小时,可以整点报时的电子钟,使用TEC-8实验台(An electronic clock that can be used for 24 hours, using the TEC-8 test platform)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-21
    • 文件大小:1196032
    • 提供者:科比的
« 12 3 4 5 »
搜珍网 www.dssz.com