CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - CPLD 步进电机

搜索资源列表

  1. step_Motor_control

    0下载:
  2. 这是本人毕业设计的源码部分,主要完成了步进电机的智能控制:采用AVR系列单片机做主空单元,可红外遥控,其中脉冲分配由CPLD实现.-This is my graduation design source, the major completed intelligent stepper motor control : using AVR Series MCU module comes air, infrared remote control, which pulse distribution by
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:13526
    • 提供者:Jawen
  1. CPLDxiaoche

    0下载:
  2. 智能机器小车主要完成寻迹功能,由机械结构和控制单元两个部分组成。机械结构是一个由底盘、前后辅助轮、控制板支架、传感器支架、左右驱动轮、步进电机等组成。控制单元部分主要由主要包含传感器及其调理电路、步进电机及驱动电路、控制器三个部分。本设计的核心为控制器部分,采用Altera MAX7000S系列的EPM7064LC84-15作主控芯片。CPLD芯片的设计主要在MAX+plusⅡ10.0环境下利用VHDL语言编程实现。驱动步进电机电路主要利用ULN2803作为驱动芯片。 -intelligent
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1369
    • 提供者:lili
  1. VHDL_stepper-motor

    0下载:
  2. 用于CPLD的控制,VHDL编程,实现控制三相步进电机的正反转。-control for CPLD, VHDL programming, control of three-phase stepper motor rotating direction.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:281581
    • 提供者:胡迪
  1. EDA

    1下载:
  2. eda技术的说明,程序VHDL(电梯\\键扫\\交通灯\\步进电机)CPLD/FPGA
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:1589902
    • 提供者:徐钧
  1. CPLD

    0下载:
  2. 控制三相步进电机及光电编码器的采集,当电机停止时,保证三相里面只有一相相通,防止停止时电流过大.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:580454
    • 提供者:suifeg
  1. 基于CPLD的步进电机控制器设计

    0下载:
  2. 很简单的但很实用的一种方法
  3. 所属分类:VHDL编程

    • 发布日期:2008-11-24
    • 文件大小:281600
    • 提供者:tyingpeng
  1. 用CPLD实现硬件直线插补器

    0下载:
  2. 介绍了采用CPLD器件设计的硬件直线插补器 及其优点,它经过环形分配器及放大电路,同时控制二维(X 向、Y向)步进电机快速实现直线绘制。它比利用软件实现 的直线插补法速度快,精度高,适用于数字控制的机械加工 或绘图设备。
  3. 所属分类:文档资料

    • 发布日期:2010-12-18
    • 文件大小:115196
    • 提供者:xxd_seeker
  1. 51andcpld

    0下载:
  2. 】本文介绍了一个使用单片机和CPLD联合控制步进电机的方案。首先阐明步进电机的工作原理及控制方法,然后 提出了系统的软硬件设计框架,详细讨论了单片机和CPLD的逻辑接口问题和交换数据的协议,以及用状态机来设计脉冲分配器 的方法。-This paper describes a combined use of SCM and CPLD stepper motor control program. First of all, to clarify the working principle o
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:175314
    • 提供者:zhengwei
  1. motor

    2下载:
  2. ALTEA EPM7128 CPLD的用于控制三维步进电机的VHDL源代码-ALTEA EPM7128 CPLD is used to control the stepper motor of three-dimensional VHDL source code
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2013-10-17
    • 文件大小:2608
    • 提供者:lauking
  1. STEP_UP_8

    1下载:
  2. 步进电机8细分CPLD相序及外部DA输出 实际细分数可达64细分 使用Atmel maxplus2 V10.1软件-8 breakdown CPLD stepper motor phase sequence and external output of the actual breakdown of the number of DA up to 64 segments using Atmel maxplus2 V10.1 software
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:288426
    • 提供者:陈国建
  1. top377

    0下载:
  2. 3955步进电机的驱动的cpld的verilog程序,经过测试,可以在ISPLEVER下调试,包括总线的译码等.非常完整-3955 stepper motor-driven Verilog CPLD' s procedures, after testing, can ISPLEVER debugging, including the bus, such as decoding. Very complete
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-13
    • 文件大小:2433
    • 提供者:yangyiping
  1. vhdlandc

    0下载:
  2. 单片机c语言和CPLD vhdl语言通信的程序,单片机接键盘和显示器,cpld实现电机控制脉冲,最总实现一个稳定的3维步进电机控制系统-c language work with vhdl language in controling step motor
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:487615
    • 提供者:mft
  1. CPLD

    0下载:
  2. 基于CPLD构成的步进电机控制系统的设计和实现-CPLD-based stepper motor control system design and implementation
  3. 所属分类:SCM

    • 发布日期:2017-05-12
    • 文件大小:2603124
    • 提供者:毛升
  1. 51kaifa

    0下载:
  2. 51单片机开发宝典,C语言和汇编语言交叉开展.包括原理图和相应的程序,值得一看! 目录 第1部分 51系列单片机基础 第1章 51系列单片机概述 第2章 51系列单片机基本结构 第3章 51系列单片机的指令系统 第4章 51系列单片机的定时/计数器 第5章 51系列单片机中断系统 第6章 51系列单片机的串行接口 第2部分 51系列单片机程序设计 第7章 汇编语言程序设计 第8章 单片机C语言程序设计基础 第9章 C51的数据结构与函数 第10
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-20
    • 文件大小:6094807
    • 提供者:huangyunfa
  1. CPLD_stepper_motor

    0下载:
  2. 用CPLD控制简单的步进电机,有源码和仿真文件。-Simple to use CPLD stepper motor control, with source code and simulation files.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:412947
    • 提供者:kele
  1. CODE-Easy2812

    0下载:
  2. CODE-Easy2812开发板源程序 5.1 内部定时器0实验 5.2 内部定时器2实验 5.3 事件定时器实验 5.4 数码管显示实验 5.5 蜂鸣器控制实验 5.6 外部RAM读写实验 5.7外部FLASH读写实验 5.8 GPIO控制实验 5.9外部中断控制实验 5.11 RS-232串口通讯实验 5.13 CAN总线接口实验 5.15 直流电机控制实验 5.16 步进电机控制实验 5.17 12864液晶显示实验 5.18 1
  3. 所属分类:DSP program

    • 发布日期:2017-11-10
    • 文件大小:7539694
    • 提供者:王帅
  1. madadianji_controller

    1下载:
  2. 使用altera MAX II CPLD 做的马达步进电机控制器。-Motor stepper motor controller using the altera MAX II CPLD to do.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-11
    • 文件大小:330409
    • 提供者:kidd
  1. bujinji-(kuozhan)

    0下载:
  2. 基于CPLD的步进电机控制实现,使用VHDL语言进行编程,通过控制开关,可以实现正转快速,正转慢速,反转快速,反转慢速四种不同的状态。- Based on CPLD stepper motor control implementation using VHDL language programming, by controlling the switch, you can achieve fast forward, slow forward, fast reverse, reverse sl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:75008
    • 提供者:孙大幕
  1. CPU1

    1下载:
  2. 使用AVR单片机+cpld的控制方式,实现步进电机加减速和步进电机位置控制(Using AVR microcontroller +cpld control method to achieve stepper motor acceleration and deceleration and stepper motor position control)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-26
    • 文件大小:4201472
    • 提供者:欢欢换
搜珍网 www.dssz.com