CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Design of Digital Clock

搜索资源列表

  1. szzsj

    0下载:
  2. 本文设计的数字钟具有以下特点: 1、具有时、分、秒计数显示功能,以二十四小时循环计时。 2、具有清零,调节小时,分钟的功能。 3、具有整点报时同时LED灯花样显示的功能。 -This paper describes the design of digital clock with the following characteristics : 1, with time, minutes and seconds count display function, to the 24-h
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:12633
    • 提供者:cheng
  1. protelshzzh.rar

    0下载:
  2. 基于单片机的数字钟设计电路图,以及PCB仿真图。,MCU-based design of digital clock circuit, and PCB simulation Fig.
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:379088
    • 提供者:wang
  1. CLOCK

    1下载:
  2. 文通过ALTERA公司的quartus II软件,用Verilog HDL语言完成多功能数字钟的设计。主要完成的功能为:计时功能,24小时制计时显示;通过七段数码管动态显示时间;校时设置功能,可分别设置时、分、秒;跑表的启动、停止 、保持显示和清除。-Through the ALTERA company quartus II software, using Verilog HDL language to complete the design of multi-function digital
  3. 所属分类:Other systems

    • 发布日期:2017-03-22
    • 文件大小:182531
    • 提供者:张保平
  1. clock

    0下载:
  2. 资料中包括了利用凌阳61板和液晶块搭建一个多功能数字电子钟的源码和完整的电路原理图,理论上只要按照指示做,把程序烧进去,就能马上运行。是我一个完整的毕业设计。-Information, including the use of Sunplus 61 boards and blocks to build a multi-function LCD digital clock source and a complete circuit schematic diagram, in theory, as
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:184794
    • 提供者:曾明
  1. shuzizhong2008

    0下载:
  2. 本文描述了数字钟的设计方案和具体的设计步骤及代码,功能比较全面,可以直接用作课程设计!-This paper describes the design of digital clock program and the specific design steps and code, function more comprehensive and can be directly used for curriculum design!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:81187
    • 提供者:liuxiaozhong
  1. clock

    0下载:
  2. 数字钟设计,有分秒显示,上下午显示,可下载到FPGA板子上进行数字显示哦-Digital clock design, there are minutes and seconds display, on the afternoon of shows can be downloaded to the FPGA on the board figures show Oh
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:3666
    • 提供者:幸福
  1. shuzidianzizhong

    0下载:
  2. 此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法.-Design and production of the digital clock digital clock in order to understand the principle, so learn to create digit
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:767603
    • 提供者:liuyunlong
  1. MCU_Digital_Clock

    1下载:
  2. <基于单片机的数字钟设计> 个人做的毕业设计,带Protel图,源代码用proteus软件仿真通过,附有毕设论文-<Based on single-chip digital clock design> individuals do graduate design, with Protel map, the source code through the use of Proteus software simulation, complete with paper-ba
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:585916
    • 提供者:JiangChunlin
  1. Digital_Clock

    0下载:
  2. 使用汇编语言实现数字时钟设计,用7seg完成显示,并可以通过button对时钟进行调整。并包括系统仿真原理图,适合做设计者使用-The use of assembly language to achieve digital clock design, with the completion of 7seg show, and can adjust the button on the clock. And includes system simulation schematic diagram,
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:149306
    • 提供者:wl
  1. vhdl-digital-clock-design

    0下载:
  2. 设计一个具有特定功能的数字电子钟。准确计时,以数字形式显示h、min、s 的时间。小时的计时要求为二十四进位,分和秒的计时要求为六十进位。 该电子钟上电或按键复位后能自动显示系统提示00-00-00,进入时钟准备状态;第一次按电子钟功能键,电子钟从0时0分0秒开始运行,进入时钟运行状态;再次按电子钟功能键,则电子钟进入时钟调整状态,此时可利用各调整键调整时间,调整结束后可按功能键再次进入时钟运行状态。 -Designed with a specific function of a dig
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-28
    • 文件大小:6365
    • 提供者:andy
  1. clock

    0下载:
  2. 用单片机定时器做的数字时钟程序,适合初学者掌握有关定时器的概念。-use the interrupt to design a digital clock, property for the new learner of mcu51.
  3. 所属分类:SCM

    • 发布日期:2017-04-12
    • 文件大小:1368
    • 提供者:wangxuejia
  1. digiter_clock

    0下载:
  2. 详细介绍了用matlab设计数字钟的程序!希望对读者有益!-Matlab with detailed procedures for the design of digital clock! Hope that useful to readers!
  3. 所属分类:Other systems

    • 发布日期:2017-05-11
    • 文件大小:2252556
    • 提供者:jjj
  1. sheji2

    0下载:
  2. 一个秒表的硬件设计,学习数字电路中基本RS触发器、单稳态触发器、时钟发生器及计数、译码显示等单元电路的综合应用。-The hardware design of a stopwatch, learn basic digital circuit in the RS flip-flops, monostable multivibrator, the clock generator and counting, decoding display unit integrated circuit applic
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:130758
    • 提供者:周妮
  1. vhdl-clock

    0下载:
  2. 数字时钟的VHDL课程设计 涉及到的几个要点有 分频模块 时分秒模块 扫描模块 显示模块-Digital Clock Design of VHDL course of a few key points related to one of those who every minute frequency module module module module scan
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-27
    • 文件大小:106578
    • 提供者:li
  1. digital-clock-design

    0下载:
  2. VHDL语言编写的数字时钟设计程序,含源代码和波形仿真,还有顶层电路设计。-The VHDL language of the digital clock design procedures, including source code and the waveform simulation, but also the circuit design.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:13164
    • 提供者:h
  1. Digital-clock

    0下载:
  2. 本程序是用QUARTUS软件设计的数字钟,采用verilog语言描述-This procedure is to use the QUARTUS software design of digital clock, using verilog language descr iption
  3. 所属分类:Other systems

    • 发布日期:2017-05-15
    • 文件大小:3829080
    • 提供者:zhuo
  1. labview-digital-clock

    2下载:
  2. 基于Labview设计的数字钟,可与电脑的时间实时同步,以24小时进制显示-Based on the Labview design of digital clock, with computer time synchronization, real-time displayed in 24 hours into the system
  3. 所属分类:LabView

    • 发布日期:2017-04-29
    • 文件大小:221221
    • 提供者:lvlongfei
  1. clock

    0下载:
  2. 用VHDL 语言设计数字钟,实现在数码管上显示分钟和秒,并且可以手动调节分钟, 实现分钟的增或者减。该设计包括以下几个部分: (1)分频电路的设计,产生1Hz 的时钟信号,作为秒计时脉冲; (2)手动调节电路,包括“时增”“时减”“分增”“分减”。 (3)时分秒计时电路。 (4)7 段数码管显示电路。 将 SW1 和SW2 初始状态均置为高电平。拨动开关SW1 到低,分钟进行加计数,秒停 止计数,当计数到59 时,从00 开始重新加计数,将SW1 拨动到高时,在当前状
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:495748
    • 提供者:panda
  1. digital-clock-circuit-.ms13

    0下载:
  2. 数电_Multisim设计_数字时钟电路 (显示时:分:秒 CP 频率 f 1Hz) 【电路说明】 1 基于 74LS160 做三个计数器(时:24 进制,分:60 进制,秒:60 进制) 2 秒针计数器完成一次计数后,进位给分针计数器的 P 和 T。 分针计数器完成一次计数后,进位给时针计数器的 P 和 T。-Digital circuit _Multisim design _ digital clock circuit (Display: hours: minutes
  3. 所属分类:HardWare Design

    • 发布日期:2017-12-13
    • 文件大小:205146
    • 提供者:WeiDi
  1. digital-clock

    0下载:
  2. 本源代码是基于AT89S52单片机数字钟的设计仿真,通过asm汇编程序,用proteus仿真软件,实现数字钟的实时显示。-The source code is based on the design and simulation of digital clock on AT89S52 by assembler asm, with proteus simulation software to achieve real-time display digital clock.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-12-12
    • 文件大小:42692
    • 提供者:wang
« 12 3 4 5 6 7 8 9 10 ... 20 »
搜珍网 www.dssz.com