CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FPGA nco

搜索资源列表

  1. CORDIC_mixer

    1下载:
  2. FPGA可实现的,使用cordic算法的NCO模块混频模块。该模块基于cordic原理,算法中只需要加法和移位运算既可以完成信号的混频功能-FPGA can be achieved, the use of the NCO cordic algorithm module mixing module. Cordic module based on the principle, the algorithm only needs Adder and shift operator can complete
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:853
    • 提供者:rossi
  1. NCO_sin

    1下载:
  2. 基于FPGA的NCO设计,采用查表方法.八位地址线,一个周期采点256个,输出八位数据.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4132
    • 提供者:wei
  1. dds_new

    0下载:
  2. 驱动时钟加入了PLL,使得DDS的驱动时钟可变.32位的NCO使得DDS的分辨率可以做到Hz量级-Clock driver joined the PLL, the DDS makes the clock-driven variable-.32-bit NCO makes the resolution of DDS can be done Hz magnitude
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2024605
    • 提供者:李春剑
  1. NCO

    0下载:
  2. 基于FPGA和SRAM的数控振荡器的设计与实现-SRAM-based FPGA and NCO of the design and implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:44795
    • 提供者:gsg
  1. RealizationofdigitaldownconversionbyFPGA

    0下载:
  2. 介绍在FPGA 器件上如何实现单通道数字下变频(DDC)系统。利用编写VHDL 程序和调用部分IP 核相结合的方法研究了数字下变频的FPGA 实现方法,并且完成了其主要模块的仿真和调试,并进行初步系统级验证。-Introduced in the FPGA device on how to achieve the single-channel digital down conversion (DDC) system. VHDL procedures and the use of the prepa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:162827
    • 提供者:于银
  1. dspddc_R12p1

    1下载:
  2. 基于DSPbuilder搭建的DDC,里面包括CIC滤波器,FIR低通滤波器,HB半带滤波器,NCO等,实现了GC5016芯片的功能-DSPbuilder erected based on DDC, which include the CIC filter, FIR low-pass filter, HB half-band filter, NCO, etc. to achieve the function of the GC5016 chip
  3. 所属分类:DSP program

    • 发布日期:2014-10-26
    • 文件大小:17176
    • 提供者:郑程
  1. CarrierGen

    0下载:
  2. 用VC的程序的方法来模拟FPGA的NCO的功能-Program with VC methods to simulate the function of FPGA-NCO
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:949
    • 提供者:dgq
  1. ddc

    0下载:
  2. 电子科大2009-数字中频技术的研究与FPGA实现,主要是DDC的FPGA实现,NCO部分的FPGA实现!-UESTC 2009- Digital IF Research and FPGA, the FPGA implementation is mainly DDC, NCO segment FPGA to achieve!
  3. 所属分类:Project Design

    • 发布日期:2017-05-20
    • 文件大小:5854264
    • 提供者:peter
  1. A_digital_WaveformGenerator_and_Oscilloscope_based

    0下载:
  2. 一种基于BASYS开发板(Xilinx Spartan-3E FPGA)的波形发生器和示波器的设计,可以产生多种可调波形,并实时显示在电脑显示器或者投影仪上。波形发生器采用基于ROM的数字控制振荡器(NCO)实现,示波器采用VGA接口实时显示。-A kind of digital WaveGenerator and Oscilloscope based on tne BASYS experiment board which has a Xilinx Spartan-3E FPGA on it.T
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-08-29
    • 文件大小:3417088
    • 提供者:张文
  1. cordic

    0下载:
  2. FPGA中数字信号发生器NCO用CORDIC实现产生正弦余弦-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1805
    • 提供者:lilun
  1. NCO

    0下载:
  2. 关于FPGA设计实现NCO,包括查找表法和CORDIC算法的改进-FPGA design and implementation on the NCO, including the look-up table method and the CORDIC Algorithm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1444443
    • 提供者:张子龙
  1. NCO

    0下载:
  2. 基于FPGA的NCO数字化实现方法,并从原理上作了必要的分析-NCO of digital FPGA-based implementation, and made from the principle of the necessary analysis
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:186828
    • 提供者:fy
  1. nco

    0下载:
  2. 基于FPGA的压控震荡器,可以通过震荡器来对输入信号进行有效的分频,而且是任意的分频系数都可以-FPGA-based VCO oscillator input signal, the effective frequency division and any sub-frequency coefficients can be
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3244957
    • 提供者:zhou
  1. DDC_FPGA

    2下载:
  2. 基于FPGA的数字下变频器(DDC)的设计,将采样得到的高速率信号变成低速率基带信号,以便进行下一步的信号处理。由NCO、数字混频器、低通滤波器和抽取滤波器四个模块组成。采用自编的加法树乘法器,提高乘法运算效率。-Design based on FPGA digital downconverter (DDC), the high-speed signal will be sampled baseband signal into a low rate for the next step in th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:52476
    • 提供者:shengxx
  1. The-Phase-Locked-Demodulation-

    0下载:
  2. 利用Altera公司推出的FPGA开发工具DSP Builder,对锁相解调算法中的主要部件:数控振荡器(NCO)、计算反正切的CORDIC模块和FIR低通滤波器进行了单独设计和仿真,最终完成了锁相解调系统的整体设计。-Designed and simulated major components of phase-locking Demodulation Algorithm independently, including: Number Controlled Oscillator(NCO)、
  3. 所属分类:Project Design

    • 发布日期:2017-05-11
    • 文件大小:2209353
    • 提供者:张强
  1. NCO_test

    0下载:
  2. FPGA的压控振荡器NCO完整Verilog工程代码,测试输出1KHZ sin波。signaltap抓取没问题。-VCO NCO complete FPGA Verilog code engineering, test output 1KHZ sin wave. signaltap crawl no problem.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:9171564
    • 提供者:allcot
  1. NCO

    0下载:
  2. 基于FPGA的DDS设计,通过外接DA转换器输出稳定的正弦波,方波和三角波,可单独产生时钟,不必借助硬件连接,包含寄存器程序,累加器程序和时钟发生电路等,以及顶层设计原理图-The DDS FPGA-based design, through an external DA converter output stable sine wave, square wave and triangular wave, can produce a single clock, without the help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:5834111
    • 提供者:孙雨晗
  1. gensin

    0下载:
  2. 用fpga控制da发一定带宽正弦信号,用vhdl编写,用nco-Fpga controlled by a band-da made a sinusoidal signal, written in vhdl, with nco
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1367796
    • 提供者:任天鹏
  1. NCO

    0下载:
  2. 一种基于FPGA的数控振荡器技术的实现方法(FPGA implementation of NC oscillator NCO)
  3. 所属分类:通讯编程

    • 发布日期:2017-12-29
    • 文件大小:1764352
    • 提供者:哈迪
  1. nco1mhz

    0下载:
  2. 使用FPGA元件中的NCO产生1MHZ频率\相位可调的输出(Use the NCO in the FPGA element to produce 1MHZ frequency \ phase adjustable output)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:2048
    • 提供者:SMALLMOON
« 12 »
搜珍网 www.dssz.com