CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Generator

搜索资源列表

  1. pn127

    0下载:
  2. 这是个128位的串行伪随机码发生器,还可以进一步扩充-128 This is a serial pseudo-random code generator, can be further expanded
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:446667
    • 提供者:lee
  1. barcode-generator

    0下载:
  2. 条码生成器。条码编译码程序,希望能给大家带来帮助。-Bar code encode-decode
  3. 所属分类:Dialog_Window

    • 发布日期:2017-04-10
    • 文件大小:1647912
    • 提供者:lizzy
  1. LFSR

    1下载:
  2. verilog实现的8阶伪随机序列发生器,文件包含了三种主要模块:控制模块,ROM模块,线性反馈移位寄存器(LFSR)模块。已经通过modelsim仿真验证。-verilog to achieve 8-order pseudo-random sequence generator, the file contains three main modules: control module, ROM modules, a linear feedback shift register (LFSR) mo
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-23
    • 文件大小:870339
    • 提供者:风影
  1. PrimeGenAndTester

    0下载:
  2. Very large (tested for more than 2048 bits) prime number generator and tester program. This is well written and based on solid algorithms in this field. Source code is my own, used for Masters course in Cryptography. Program has easily readable and
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-28
    • 文件大小:22393
    • 提供者:s_wilfred
  1. (PWM)

    0下载:
  2. 方波发生器程序。AVR单片机程序。MEGA16-Square-wave generator procedures. AVR Singlechip procedures. MEGA16
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-24
    • 文件大小:32592
    • 提供者:MQS
  1. Gold_Sequence_Generator

    0下载:
  2. 美国国家航空和宇宙航空局(NASA)研制的跟踪和数据中继卫星系统(TDRSS)的正交信号发生器使用的是阶数为r=11的GOLD序列,序列长为2047,m序列优选对为4445和4005,利用Matlab程序构造出这个序列,并且验证信号的平衡标准,PACF(离散周期自相关函数)和PCCF(离散周期互相关函数)。通过Matlab2009A测试。-NASA developed tracking and data relay satellite system (TDRSS) use of orthogon
  3. 所属分类:matlab

    • 发布日期:2017-03-27
    • 文件大小:1826
    • 提供者:Alex
  1. assoc.gen

    0下载:
  2. IBM Quest Market-Basket Synthetic Data Generator是做关联规则挖掘多用的一种人工数据合成工具,这方面论文的实验数据大多是用它生成的数据。-IBM Quest Market-Basket Synthetic Data Generator for mining association rules is to do a manual multi-purpose data integration tools, this paper experimental
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-03-29
    • 文件大小:17103
    • 提供者:李丽
  1. rpg

    0下载:
  2. 一个随机密码产生器代码-A random password generator code
  3. 所属分类:Other systems

    • 发布日期:2015-10-24
    • 文件大小:4701
    • 提供者:站长
  1. cmdsrc

    0下载:
  2. random.zip 随机数产生器的汇编源代码 cmdsrc.zip 一个文本编辑器的汇编源代码-random.zip random number generator to compile the source code of a text editor cmdsrc.zip compilation of source code
  3. 所属分类:assembly language

    • 发布日期:2017-11-10
    • 文件大小:63846
    • 提供者:黄小龙
  1. Npc Generator - Source Code

    0下载:
  2. Npc Generator
  3. 所属分类:Game Program

    • 发布日期:2017-11-09
    • 文件大小:137435
    • 提供者:none
  1. JLex

    0下载:
  2. JLex词法分析生成器,可以用于生成简单词法分析器,java编写-JLex lexical analysis generator can be used to produce simple lexical analyzer, the preparation of java
  3. 所属分类:Compiler program

    • 发布日期:2017-03-27
    • 文件大小:94373
    • 提供者:
  1. convolutional_encode

    0下载:
  2. simulating a convolutional encoder allows the user to input a source code to be encoded and also input the values of the generator polynomials. It outputs the encoded data bits, where 1/n is the code rate
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:1103
    • 提供者:郑中
  1. Rayleigh_Fading_Channel_Signal_Generator

    0下载:
  2. Rayleigh Fading Channel Signal Generator
  3. 所属分类:matlab

    • 发布日期:2017-04-13
    • 文件大小:2412
    • 提供者:andy
  1. rng_opencore

    0下载:
  2. opencore, random number generator, verilog
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:3326
    • 提供者:jason
  1. VGADIY

    0下载:
  2. 自己编的VGA彩条信号发生器verilog ise环境-Own the VGA color signal generator verilog ise Environment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:417292
    • 提供者:mcuxxq
  1. LFSR

    0下载:
  2. 伪随机序列产生器,线性反馈移位寄存器,原代码。-Pseudo-random sequence generator, linear feedback shift register, the original code.
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-29
    • 文件大小:163107
    • 提供者:李辛
  1. fcsr

    0下载:
  2. 伪随机序列产生器-代进位反馈移位寄存器,verilog hdl 原代码。-Pseudo-random sequence generator- on behalf of binary feedback shift register, verilog hdl original code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1403
    • 提供者:李辛
  1. ffcsr

    0下载:
  2. 伪随机序列产生器-filtered 代进位反馈移位寄存器,verilog hdl 原代码。-Pseudo-random sequence generator-filtered on behalf of binary feedback shift register, verilog hdl original code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:2054
    • 提供者:李辛
  1. dfi

    1下载:
  2. 感应双馈发电机系统的仿真,已经完美封装好,参数可自行更改.-Doubly-fed induction generator system simulation, has the perfect package, and can make changes to parameters.
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-29
    • 文件大小:9292
    • 提供者:zhangbin
  1. DDS

    0下载:
  2. 基于DDS技术的函数波形发生器设计,适合用fpga设计波形发生器用-Based on DDS technology function waveform generator design, suitable for FPGA design with Waveform Generator
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:1682936
    • 提供者:
« 1 2 3 4 56 7 8 9 10 ... 50 »
搜珍网 www.dssz.com